Wechat-IC

ic

本文最后更新于 天前,文中部分描述可能已经过时。

数据来源于各IC公众号,详见IC-Navigation。更新于2020.6.27。

32768Hz

生活singlefile–分享一个chrome扩展程序
python&打包分享Springer出版社价值40000美元书籍免费下载
生活&python批量获取公众号文章链接
python通过邮件远程控制电脑
生活分享一个PC录屏软件
口罩紧缺,怎么办?原来口罩可以这样“省着用”!
Python切割视频的小工具(脚本)
IC推荐SynopsysTCLlabs
linux批量执行emacsvi内部命令
python&群晖花的“最失败”的一块钱
ICvim自定义关键词高亮
ICDFT简介
Python简易版按键精灵
ICverdi的NPI(API)接口
学习分享英语资源
Python防止电脑休眠
2019年新年计划
Python代替everything的小工具
Python下载酷狗VIP歌曲
聊一聊“中医”
百度网盘去重V2.0版–周年大更新
Python捋一捋windows文件编码
Python使用eyed3库修改mp3标签
英语从零开始
PDF合并拆分软件免费分享
Python合并PDF好难
Python批量保存SolvNet文章
Python脚本刷飞机票
微信一招集齐xx个赞
TCL使用TCL脚本抽取PT所需library列表
Python爬取全公司邮箱列表
Python小伙伴分享的Python全栈视频
Linux编辑器之神vim
英语背单词的第100天
Linux压缩脚本中的致命bug
Python我是这样写脚本的
Python41套python资源免费分享
PythonPython资源失效的解决方法
UPF学习笔记一
一招搞定所有公众号图文模版(附视频教程)
33套记忆培训视频教程–先收藏了
这是我的三个新年愿望,你的呢?
Python+Scrapy+妹子图
343Gpython视频资源免费分享
userguide+perl
Python百度网盘去重目录小软件
Python小工具
百度网盘文件目录生成器–Python
Python视频资源分享
Python3发送email
Python《使用Scrapy爬虫爬取百度网盘电影资源》
Python环境搭建和豆瓣TOP250列表
Python基础进阶项目和爬虫系列教程源代码PPT
资源合集
DayDayUp《Makefile中shell的用法》
csh之path
从零开始学习微信小程序开发
Perl脚本Usage的三种实现方法,总有一种是你没用过的
使用Perl脚本整理英文文章的词频
Perl解决问题实例1
Perl进制转换
投资72法则
批量重命名文件名

DFT精英

HVM(大批量生产)中的性能测试
半导体生产线工艺的DFT监测办法
扫描测试压缩机制简介(下)
扫描测试压缩机制简介(上)
扫描链之故障诊断
Memory的修复了解一下(下)
Memory的修复了解一下(上)
Scan测试故障模型介绍
测试激励是怎么产生的
ATE测试扫盲
关于留言功能的一点解释
时钟发生单元浅谈
交流零距离—记DFTElite线下讲座
片上存储器大揭秘
西行问答-半导体设计产业西迁思考
DFT论剑之SCANChain基础
扫盲!DFT到底是什么?
DFT@芯片开发不同阶段
文本消息_1522663352

EDA物理设计技术

下一代定制设计SoC对寄生参数提取的新要求
一大堆memory怎么摆,AutoFloorplan解放你的双手
SVF这点事:此地无银三百两理解SVF对我们帮助多多
Formality一问一答
Formality骚操作:路漫漫其修远兮解决Abort的一些策略
Formality骚操作:四两拨千斤简洁快速有效的调试技巧
暂停打农药,手戳几下,有礼品,有钱!
乾坤大挪移练到第几层Legalizer的演进史(二)
乾坤大挪移练到第几层Legalizer的演进史(一)
buffer的自驾之旅
轱辘上的硅(三)汽车电子芯片设计之失效分析
先进工艺中的CutMetal与MetalExtension技术
Place_opt和create_placement区别
Power之vectorless遐想
轱辘上的硅(二)汽车电子芯片的DFT设计
轱辘上的硅(一)
古法赤鹰镶嵌技术(1)
答读者问skew较大怎么debug?
你来问,我来猜公众号新年改版
一种“更”快速创建“更”复杂PG的方式
重磅!用户分析报告
一种快速创建复杂PG的方式
工作随笔ECO两个技巧
ICC2近期的一些updaterouting
学习笔记GRO和GRE
提高TCL脚本运行速度另外一招
加快TCL脚本运行速度的七个习惯
5分钟教你入门ICC2调用PT修leakage
Buffer全被放在channelcorner的边上,怎么办?
不常用,但很有用ICC2寻宝(一)
Power的计算和对lowpower技术的理解
PowerReplay–功耗评估的登云梯
DEBUG时钟数(3)分析latency的强大命令
Debug时钟树(2)时钟树分析窗口
PTHyperscale(3)流程详解
PTHyperscale(2)flow中几个关键技术
CELLEMAwareECO
Debug时钟树看log
PT加速runtime的重要一程Hyperscale(1)
Legalizer之二cell挪了多远?
legalizer初相识
火热招聘
提高icc2和pt的correlation(2)
来提升效率ICC2GUI高级技巧
提高icc2和pt的correlation(1)
小议Formality常量识别和验证
ICC2Attribute操作大全
给pin打孔时,总是有DRC?
如何控制stdcell的密度?
PhysicalDRCsignoff利器IndesignICV
一家人不说两家话PrimePowerPowerCalculation:Uniquenetactivity
傻傻分不清楚与routing有关的命令
stdcell的四角恋论placer,legalizer和blockage,bound的关系(下)
stdcell的四角恋论placer,legalizer和blockage,bound的关系(上)
Formality检查电源连接问题
Backgroundjob为DCruntime锦上添花
这招解决short挺不错
谁动了我的appoption?
ICC2学而不厌设计很大,PGVIA很多,怎么办?
让PT功耗评估来得更早些吧-Delay-shiftedCAPP
山区的路很难走-Placer要考虑绕弯的路线
Primetime里面的脚本小技巧
机器学习和IC设计的碰撞
ICC2温故知新-插不上PGVIA怎么办
ICC2学而不厌-如何控制各种filler的比例
PT一点通-浅议如何在PT里面减少SI悲观度
ICC2学而不厌-pipelineregister
公众号复活了,敬请期待

FPGA LAB

FPGA基础知识极简教程(10)二进制到BCD转换算法
FPGA基础知识极简教程(9)七段数码管显示的Verilog简单设计
FPGA基础知识极简教程(8)详解三态缓冲器
Verilog设计实例(8)按键防抖设计之软件防抖
Verilog设计实例(7)基于Verilog的数字电子钟设计
FPGA基础知识极简教程(7)详解亚稳态与跨时钟域传输
FPGAASIC初学者应该学习Verilog还是VHDL?
IC技术圈期刊2020年第06期求职特刊
FPGA基础知识极简教程(6)UART通信与移位寄存器的应用
FPGA基础知识极简教程(4)从FIFO设计讲起之异步FIFO篇
FPGA基础知识极简教程(3)从FIFO设计讲起之同步FIFO篇
FPGAASIC笔试面试题集锦(1)知识点高频复现练习题
FPGA基础知识极简教程(2)抛却软件思维去设计硬件电路
FPGA基础知识极简教程(1)从布尔代数到触发器
Verilog设计实例(6)详解移位寄存器
Verilog设计实例(5)详解全类别加法器(二)
Verilog设计实例(4)详解全类别加法器(一)
详解ASIC设计流程
FPGA设计心得(9)基于DDSIP核的任意波形发生器设计
Verilog设计实例(2)一步一步实现一个多功能通用计数器
Verilog设计实例(1)线性反馈移位寄存器(LFSR)
IC基础知识(1)集成电路(IC)简介
半导体基础知识(4):无源,有源和机电组件
半导体基础知识(3):双极结和场效应晶体管(BJT和FET)
半导体基础知识(2):PN结二极管和二极管特性
半导体基础知识(1):材料和器件
FPGA设计心得(6)AuroraIP核例子简析与仿真(framing版)
有重叠与无重叠序列之序列检测与序列产生
谈谈跨时钟域传输问题(CDC)
谈谈Mux与门电路的相互替换(包含实例分析)
有关遗传算法的一个简单入门的例子(java语言实现)
linux命令(1)
用C实现冒泡排序
尝试把上次课学到的英文单词总结下(一)
关于条件编译应用的小例子
银行卡信息录入系统(一)之宏定义的应用
关于栈的简单应用的小例子
用单链表构建学生信息管理系统(一)

FPGA开源工作室

“C66x中文数据手册”拍了拍你
FPGA+DSP的高速AD采集处理开发详解
【中篇】中国存储器:“无心插柳”的战略突围
C66x多核DSP书籍免费领取,50名!
【上篇】荣耀、财阀与政治:存储器战争的阳谋
520快乐
IC技术圈期刊2020年第五期
千兆以太网(4):发送——ODDR原语和Wireshark抓包工具
基于ZYNQ的CameraLink图像采集与边缘检测开发详解
FPGA技术岗位招聘
“ZYNQZ70457100”VS“C6678+K7”
千兆以太网(3):发送——组建以太网心跳包
IC技术圈期刊2020年第四期
IC技术圈,升级了
千兆以太网(2):接收——包校验和数据筛选
四十年大戏——光刻机资本局
智能互联,技术抗疫DIGILENT智能互联创新创业设计大赛线上重磅开启!
千兆以太网(1):接收——RGMII协议和IDDR原语
基于FPGA的低延迟实时雷达脉冲压缩处理方法
协议——SCCB与IIC的区别
嵌入式人工智能远程实验”战疫”平台开放免费账号申请–美国DIGILENT科技+SYTEK共克时艰
FPGA实现图像几何变换:缩放
gVim编辑器——基本设置、常用命令、代码片段
Notepad++编辑器——Verilog代码片段和语法检查
IC技术圈期刊2020年第三期
ZYNQ笔记(0):C语言基础知识复习
Verilog实现偶数、奇数、半整数、分数(小数)分频,画电路图用D触发器实现分频
Verilog实现–序列检测器、自动饮料售卖机
XilinxFloating-PointOperatorIP创建与仿真
XilinxFloating-PointIP
浮点数和定点数的相互转换
浮点数基础
IC技术圈期刊2020年第二期
Arm传奇
由RGB到HSV的转换详解
示波器使用教程
VivadoNon-ProjectMODETclCommands
VivadoTcl脚本编译工程
IC技术圈期刊2020年第一期
昇腾万里,加入主航道!
基于FPGA的直方图拉伸
SystemVerilogforVerification
基于FPGA的直方图均衡化
本想用Python爬遍知乎,却险些被知乎反杀是什么体验?
基于脚本的modelsim自动化仿真
使用Simulink快速搭建视频处理硬件加速仿真平台
MATLABHDLCoder开发环境设置
秋招“笔试经”第六弹:华为硬件逻辑岗
基于FPGA的多级CIC滤波器实现四倍插值
基于FPGA的多级CIC滤波器实现四倍抽取三
秋招“笔试经”第五弹:华为硬件逻辑岗和硬件通用岗
Matlab音频信号的基本处理与分析
秋招“笔试经”第四弹:华为硬件通用岗和硬件逻辑岗
FPGA架构师年薪70W+
秋招“笔试经”第三弹:华为硬件逻辑岗
秋招“笔试经”第二弹:海康威视硬件岗
秋招“笔试经”第一弹:华为硬件逻辑岗
基于haar特征+adboost分类器的人脸检测算法—-haar特征
VIDEODemystified
高级FPGA设计结构实现和优化
【vivado学习六】Vivado综合
国庆节快乐
TheZynqBook
基于FPGA的图像去雾算法的实现
中兴微电子招聘IC验证工程师
【vivado学习五】时序分析
【vivado约束学习四】跨时钟域路径分析
学会使用Hdlbits网页版Verilog代码仿真验证平台
【vivado约束学习三】时钟网络分析
【vivado约束学习二】IO延时约束
【Vivado约束学习】时钟约束
QuartusII和NiosII使用时遇到的错误及解决方法总结
基于暗通道先验条件图像去雾算法
FPGA实现图像浮雕效果
Altera的Cyclone系列器件命名
您要的FPGA开发软件都在这里
她发明了可以“喝的饭”,估值已超过10亿美金!从此每天多睡半小时….
Vivado加上VsCode让你的生活更美好
FPGA图像处理基本技巧
FPGA图像处理的前景如何?
音频世界一
我们计划招收300名学员,免费攻读人工智能专业
Ubuntu下Icarus的iverilog+gtkwave的FPGA波形仿真
基于FPGA的多级CIC滤波器实现四倍抽取二
基于FPGA的多级CIC滤波器实现四倍抽取一
基于FPGA单级CIC滤波器实现8倍抽取
基于FPGAIIR数字滤波器的设计
基于FPGA数字混频器的设计
国产FPGA那家强
RAM初始化的下板验证(Xilinx)
xilinxverilog语法技巧(三)–RAM的初始化
滤波器的分类
基于FPGA低通滤波器的FIR的设计
基于FPGA三种边缘检测算法的演示
xilinxverilog语法技巧
基于FPGA图像的镜像
几何变换–图像裁剪
基于LUT的DDS的设计
PetaLinux安装
信号的基本概念
基于FPGA的车牌字符提取
一种MXN维的手写字符识别算法
基于FPGA图像仿真系统的使用
信号的产生
基于HDMI的视频流输入输出
音频总线I2S协议
mig读写时序下板实现
migIP的仿真
FPGA自习学院
migIP用户读写时序
migIP的创建
DDR3和mig的介绍
文本消息_1549293661
F社训练营要开班啦!!!
上网本也能运行Vivado?
一种简单的基于FPGA车牌定位算法的实现
灰度直方图的统计
我们要出PYNQ开发板了
基于FPGA的帧差法仿真实现
AuroraIP建立仿真及测试
基于FPGA的人脸位置定位的仿真实现
基于FPGA车牌位置的定位
基于FPGA特征颜色目标的提取
FPGA图像处理系统仿真平台的搭建
ViVADOHLS图像的获取
Zynq-7000人体肤色识别
UbuntuOpencv图像平滑处理
Zynq-7000rgb2ycbcrIP的创建与使用
分享图片
Zynq-7000电子相册的实现
Zynq-7000PL端HDMI的显示控制
往期链接
IC填坑者联盟你造吗?
Zynq-7000PS到PL端emio的使用
往期
Zynq-7000ARM端MIO的使用
Zynq-7000ARM端helloworld实验
VivadoIP的添加、modelsim-se仿真库的生成以及联合仿真
Ubuntu16.04配置OpenCV3.4.2及基本使用
Ubuntu系统安装
XilinxVivado硬件诊断(ila和vio的使用)
基于FPGA的数字识别三
基于FPGA水平垂直投影法(字符分割)的实现
verilog常用语法三让您的FPGA设计更轻松
基于FPGA视频图像水印的实现
基于FPGA形态学开运算、闭运算和梯度的实现
Vivadohls入门二
Vivadohls入门一
Zynq-7000开发环境的搭建一
基于FPGA灰度图像的形态学膨胀算法的实现
Zynq-7000能干什么
推开zynq-7000的大门
FPGA图像处理之行缓存(linebuffer)的设计二
FPGA图像处理之行缓存(linebuffer)的设计一
基于FPGA灰度图像的形态学腐蚀
基于FPGA的数字识别的实现二
基于vivadoHLS的帧差图像实现
基于FPGA的实时移动目标的追踪
FPGA原创
基于FPGA的数字识别的实现
基于FPGA的灰度图像处理之幂律(伽马)变化
verilog常用语法二让您的FPGA设计更轻松
文本消息_1522640587
基于FPGA的图像差分处理
基于FPGA的比特平面分层
基于FPGA的二值图像的边界提取算法的实现
基于FPGA的二值图像的膨胀算法的实现
基于ov5640图像采集系统的sobel边缘检测算法的实现
基于FPGA灰度图像的laplacian算子的实现
基于FPGA的二值图像的腐蚀算法的实现
verilog常用语法一让您的FPGA设计更轻松
基于FPGA的灰度图像处理之对数变化
使用matlab生成sine波coe文件
教您使用FPGA来画铅笔画
使用matlab生成sine波mif文件
从数字信号处理架构来对比FPGA,ARM,DSP的优势
基于FPGA的灰度图像处理之反转
基于FPGA的5寸LCD显示屏的显示控制
FPGA仿真篇-使用脚本命令来加速仿真二
FPGA仿真篇-使用脚本命令来加速仿真一
基于FPGA灰度图像高斯滤波算法的实现
基于FPGA的灰度图像均值滤波算法的实现
从赛灵思FPGA设计流程看懂FPGA设计
基于MATLAB图像处理的中值滤波、均值滤波以及高斯滤波的实现与对比
基于FPGA的中值滤波算法的实现
从XilinxKintex-7认识FPGA
基于MATLAB边缘检测算子的实现
FPGA图像处理之边缘检测算法的实现
FPGA开发实用小工具
FPGA图像处理之rgbtogray算法的实现
MATLAB资源分享
基于FPGA的图像显示
FPGA图像处理之高斯滤波仿真篇
FPGA图像处理之高斯滤波算法理论篇
基于MATLAB的人脸识别算法的研究
基于matlab的图像中心差分处理
MATLAB之微分处理图像前向差分
Matlab图像处理之均值滤波
图像处理之RGB转Ycbcrorgray
FPGA的图像处理算法

FPGA技术联盟

FPGA入门系列13–异步串口通信
FPGA入门系列12–RAM的使用1
FPGA入门系列11–数码管
海弘装备招聘信息
FPGA入门系列12–RAM的使用
Vivado使用误区与进阶系列(九)读懂用好TimingReport
华鑫股份招聘信息
FPGA入门系列10–按键消抖
Vivado使用误区与进阶系列(七)用Tcl定制Vivado设计实现流程
FPGA入门系列9–状态机及do文件
Vivado使用误区与进阶系列(六)Tcl在Vivado中的应用
FPGA入门系列8–Top_Down设计
Vivado使用误区与进阶系列(五)XDC约束技巧之IO篇(下)
FPGA入门系列7–时钟分频
FPGA入门系列6–判断语句
FPGA入门系列5–运算符号
Vivado工作模式简介
FPGA技术联盟送福利啦!!!-你想要的软件都在这里。
Xilinx面向网络和云加速推出的新产品–VersalPremium
Vivado使用误区与进阶系列(四)XDC约束技巧之IO篇(上)
3分钟快速认识Zynq开发
Vivado使用误区与进阶系列(三)XDC约束技巧之CDC篇
北京和熵通信公司招聘简介
FPGA入门系列4–赋值语句
Vivado使用误区与进阶系列(二)XDC约束技巧之时钟篇
Vivado使用误区与进阶系列(一)十分钟教会你UltraFast(2)
FPGA入门系列3–wire与reg
Vivado使用误区与进阶系列(一)十分钟教会你UltraFast(1)
简约而不简单的DO文件–Modelsim的TCL应用
FPGA入门系列2–仿真验证
FPGA配置方式
FPGA入门系列1–模块书写&电路综合
基于FPGA的CORDIC算法求解角度正余弦
Testbench编写是如此的简单(Verilog)
FPGA中的上拉下拉电阻以及开漏推挽输出电路
资深FPGA工程师讲给初学者的肺腑之言
基于FPGA硬件设计DDS的跳频信号产生系统
鼠年大吉,给您拜年啦!
值得收藏的FPGA硬件PCB布局小技巧(AD)
1553B总线学习(一)
带你走进Vitis人工智能平台-1
FPGA在AI应用中的优势
TimequestTimingAnalyzer时序分析
Verilog实现VGA通信的驱动
详解普通时序系统数据传输过程
FPGA供电分析
FPGA中的多时钟设计策略
FPGA管脚分配的那些事儿,你都知道吗
FPGA设计规范之Verilog编码规范
热烈庆祝中华人民共和国成立70周年
5分钟教你真正实现任意分频
vivado约束之时钟路径分析
Google发布了超强人工智能,人类或迎来史上最惨失业潮…
ZYNQ中断体系
FPGA校招笔试题详解
Vivado中Debug的操作方式,你了解几种?
华为十年设计经验之FPGA设计与综合规范
带你踏上FPGA&图像处理之路
初学verilog纪要
如何学习FPGA,你准备好了吗?
FPGA,你一定想知道的那些事儿
深度解析FPGA市场现状和发展机遇
PCIe迎来新时代——PCIe6.0标准公布
ZYNQ开发(七)zynqAXI总线的简单介绍
ZYNQ开发(六)ZYNQ的UART加载
ZYNQ开发(五)双核启动
ZYNQ开发(四)DMA配置
ZYNQ的启动原理和配置
[招聘启事]苏州振旺光电–FPGA工程师招聘
ZYNQ开发(二)GPIO配置
【研讨会交流】2019芯园FPGA技术研讨会
zynq开发(一)新建工程
Zynq之uart软件配置
王者归来–Inter发布最新的FPGA–Agilex
跟我制订一份zynq开发步骤
2019年FPGA技术联盟实习成员招募
5分钟了解FPGA之Xilinx7
从小白到FPGA达人的天路历程
CycloneVSERDES仿真
modelsim中代码覆盖率使用详解
ALTERA28nm器件Transceiver重配置IP的Stremer模式介绍
Debussy软件简介及仿真教程
毕业季,说说简历的那点儿事儿
增量编译(IncrementalCompile)提高Vivado编译效率
USBBlasterInstallationforLinux
ModelSim仿真实例入门之(三)–时序仿真
ModelSim仿真软件介绍(二)
ModelSim仿真软件介绍(一)
京信通信诚招FPGA工程师
航空总线1553B接口设计
华鑫股份诚招FPGA工程师
Intime在军事航空项目中大放异彩
Xilinx助力人工智能新型领域的应用
FPGA设计中常见的30个基本概念详细【一】
人工智能新兴应用通过带可配置加速的片上系统器件来满足日益严格的性能和效率需求
【免费提供】FPGA招聘平台
FPGA高速接口之PCIe
人工智能就是统计学——你怎么理解?
FPGA数字信号处理(二)数字混频
【FPGA信号处理一】5分钟学会FIR滤波器设计
开启FPGA应用的新时代
Vivado约束技巧——XDC时钟约束
异构计算以及OpenCL介绍
基于FPGA的USB3.0接口设计
FPGA在人工智能领域开启应用新篇章
FPGA电源设计指南
全球十大军工集团
中国芯的崛起之路
在Vivado中,您使用过TCL吗?
RFSoCZCU111评估套件上线啦!
JESD204B接口时钟设计案例介绍
五分钟让你认识JESD204B
西安2018MATLABSimulink技术研讨会
一文读懂ACAP(自适应计算加速平台)
编写可综合的FPGA代码经验总结(二)
高速ADC的电源设计
FPGA为什么在高性能运算领域独领风骚?
高速FPGA系统设计几条军规
FPGA的外部总线接口设计
PXI接口的6GHz直流IQ解调器
【干货分享】编写可综合的FPGA代码经验总结(一)
学习FPGA必须要知道的要点
总线接口介绍(二)
总线接口介绍(一)
面向5G应用的FPGA创新技术–RFSoC
【FPGA与USB】基于EZ-USB系列芯片的FPGA高速通信
非常全面的FPGA设计规范整理
AXI总线介绍
AMBA总线协议详细介绍
【DO-254】DO-254的安全等级介绍(二)
ARM、DSP、FPGA的技术特点和应用区别
详解数字电路中的抖动
赛灵思全面解读—-FPGA老牌玩家如何从强敌环伺中走出自己的路
【DO-254】FPGA设计者应该知道的DO-254知识(一)
基于USB3.0的FPGA模块
【干货分享】异步电路中的时钟同步处理方法
[科技前沿]硅谷教父凯文·凯利谈未来科技趋势
FPGA的市场潜力到底有多大?
Vivado中几种仿真模式比较
可以承载“FPGA+深度学习”的OpenHECLab是个什么鬼?
机器学习终将改变FPGA设计–Plunify新款神奇Kabuto
【干货分享】同步电路分析—异步和同步电路的区别(二)
【干货分享】同步电路的时序模型分析(一)
【干货分享】Get到这些小技巧,FPGA设计将提高一个台阶
【干货分享】同步复位和异步复位,您真搞明白了吗?
【行业动态】图解XilinxSpartan-7
关于C919您了解多少?
【干货分享】Verilog中阻塞和非阻塞赋值金规
一文读懂SPI总线接口
时序、时序,如何解决FPGA的时序优化问题?
FPGA厂商您知道几家?
DO-254FPGA自动化物理测试平台-AVP254
热烈祝贺西安卓联电子微信公众号开通

FPGA自习室

帧差法的FPGA实现原理
关于复位电路的设计的思考
谱数光电紧急研发体温异常检测热像仪
FIFO阈值设置及深度计算原理
如何构建知识体系
基于FPGA的图像光斑跟踪定位
一张图看懂SetupSlack
基于Verilog的车牌精确定位
基于Verilog的垂直投影实现
基于FPGA的rgbtoyuv颜色空间转换
MagicImageFPGA虚拟视频源平台(二)
MagicImageFPGA虚拟视频源平台(一)
FPGA资源汇总
FPGA图像处理开发流程
FPGA图像处理基础

ICGeek

极刊EDA的左倾主义
极刊IC极客荐书,一起学习,一起挂科
极说IC圆桌派复盘笔记之闲话DV
极刊IC圆桌派微信群过年七天乐
极说与IC圆桌派过大年之闲话架构
IC极客2.0–历史文章整理
极刊分享自己的创业心路历程
极刊流程换人力算力换时间之后端流程架构
极刊如何打造低绩效IC研发团队
企业级IC研发平台构建实施白皮书_v2.0
小长假,闲极无聊写篇高考作文
极说IC极客社群一周话题精选
极说PlanB
xHub白皮书
企业级IC研发平台构建实施白皮书
极刊1024,向代码致敬!
极刊轻松玩转正则表达式
极刊同ICer聊聊流程设计的艺术
极刊同ICer聊聊不一样的流程管理
极说当JSON遇到IC设计
极刊如果你错过了今天的CDNLive
当大数据遇到IC设计
ANSYS半导体事业部全球总经理:仿真技术布局未来芯片SignOff
极刊再谈IC设计上云
极刊数据,项目管理与流程构建
极刊说三件小事
极刊IC攻城狮需要了解的Markdown都在这里
极问研发环境及流程管理
极问FPGA技术讨论专题
极说关于模拟设计的一场有趣问答
模拟人生的终极9问
极刊DACDesign-on-Cloud入局者在关注什么(1)
极刊一天学懂Tcl思想
极刊DACKeynoteIBMAI负责人谈“计算的未来”
从DAC2018Day1看IC设计热点
IC极客群规_V1
极刊中兴事件带来的思考:开源+EDA(TclTk番外篇)
极说IC领域的机器学习应用,看这一篇就够了
极刊EDA界的奥斯卡DAC
极刊IC极书圈不完全书单
极说拯救你的加班,极客效率七问
极说2018面试及职业发展指北
极说EDA在云中漫步主题讨论
极刊Tcl工作环境搭建ZshTmuxVim三剑客
极说编程在IC中的应用主题讨论(精华版)
极说-前端及验证主题讨论(未删减版)
极刊我的语言选择之路&Tcl在工作中的占比
极说IC类常用网站及网上学习资料
极刊后端视角看IP交付,质量检查和集成
极刊TclTk-FromNovicetoExpert
极刊IC极客之家2.0

icsoc

读书笔记帮助的逻辑
《RDA往事》转载
增强tclsh的行编辑功能
PrimeTimeDMSA的目录问题
关于工作的几个想法
当我们做后仿时我们究竟在仿些什么(补充)
当我们做后仿时我们究竟在仿些什么
芯片做出来有bug怎么办
芯片设计的职业病
介绍一个数字前端技术的论文源:DVCon
水火无情
为什么人到中年还要加班?
【011】VerilogTaskConcurrentActivation
关于Verilog的TimeScale
GotoFileWithLineNum源代码
上升沿的疑问(续)
上升沿的疑问
君子善器之按行号跳转:用vim查阅verilog编译信息的一个小技巧
君子善器之ConsoleCalculator
从逻分说起

IC验证工程师

【DP0】UVM进阶第一步,先学会UML
【WT2.5】看,波形
【WT3.6.4】随机的归随机,直接的归直接
【WT3.6.3】从Feature到Generator
【WT3.6.2】从Feature到Testbench
【WT3.6.1】从Feature到功能覆盖率,一个概念必须了解
【WT3.6.0】我找到了这张图的小BUG,你也找到了吗?
【WT3.5.1】验证验证平台的两大方法
【3.5.0】基于featurelist的直接用例验证注意事项
【WT3.4.2】看待验证需求优先级的三个视角
【WT3.4.1】如何编写验证需求文档?
【WT3.3】验证经理会如何打出一手好牌?
【WT3.2.2】系统级验证的含义和方法
【WT3.2.1】从Unit级到Block级的套路差异,保证你没想过
【WT3.1.0】考验你的时候来了,制定验证的分层粒度选择策略
【WT3.1】当我们谈验证计划时,我们谈些什么
【WT2.4】该不该买VIP?
【WT1_S】WT第一章精华汇总,不收藏一下吗?
文本消息_1488843651
【WT1.7】对于重用,验证工作中重中之重的事情,Janick说了什么
【WT1.6】跟随Janick从验证角度看设计重用(IP)
【WT2.0.1】番外篇:验证技术投票结果分析(下)
【WT2.0.0】番外篇:验证技术投票结果分析(上)
【WT1.5】难道除了互相伤害,设计团队就不能为我们做些什么?
【WT2.3】关于仿真的一些概念,你可能不知道
【WT2.2.0】番外篇:你听说过代码检查的YLN现象吗?
【调查】你的项目团队在RTL阶段会用到哪些验证技术
【WT1.4.1】番外篇:灰盒验证的武林秘籍原来是它
【WT2.2】代码Review,让我们相互伤害吧
【WT2.1.0】番外篇:Lint和他的小伙伴们
【WT1.4.0】关于白盒验证:弱小和无知不是生存的障碍,傲慢才是
【WT2.1】关于Lint,新手必看,老鸟请飞过
【WT1.4】所有人都知道的三个盒子,Janick关注的是怎么用它们
【WT1.3】关于形式验证,你所不知道的
重看WritingTestbenches小调查
【WT1.1】Janick处理“人为因素”的三个预防&纠正措施
【WT1.0】“验什么”是一个重要的问题
【WT0.0】番外篇:魁北克大桥是怎么倒掉的
【WT0】重读《WritingTestbenchesusingSystemVerilog》
连接脚本环境的桥梁uvm_cmdline_processor
对于让验证平台加速的一点困惑
形式验证-除恶务尽还是关门放狗
如何建立仅上传的单方向FTP服务
文本消息_1470696742
小白骑骆驼PK中文乱码
一篇文章讲清楚寄存器属性及应用
仿真器的“同时”进程处理
【投票调查】您日常使用的版本管理工具是什么?
那些比资本主义还虚伪的日子
如果你的照片拍的不够好,那是因为你靠的不够近(一)
硬件迭代开发的运作模型
W爱验证——回归验证
像存储器厂商学习写存储模型
做个每天坚持不到60秒的瘦子
W爱验证——异常用例
我执行了10万条用例,为什么没有一个好的考评?
文本消息_1466586041
你的验证团队也是一个头脑特工队吗?
W爱面试——名词解释.png
多芯片联合仿真的库文件重复问题
文本消息_1465299098
你居然是这样的人!
W爱面试——冒烟测试
item(transaction)设计(第五课)-随机
item(transaction)设计(第四课)-函数
设计验证平台的心理准备
item(transaction)设计(第三课)-信息量
item(transaction)设计(第二课)-颗粒度
item(transaction)设计(第一课)
如何像切西瓜一样梳理driver(发送bfm)时序
有了UVM,还需要熟悉的SV语法——打印篇
文本消息_1462921645
熟悉了Shell,还应该学Makefile吗?
采样不稳定,这个坑怎么填?
提高工作效率的一些小技巧
流量模型的验证方法(第四期)
流量模型的验证方法(第三期)
流量模型的验证方法(第二期)
流量模型的验证方法(第一期)
我的地盘我做主,大家的地盘怎么办
如何规划验证平台的目录结构
验证工作不受重视,怎么破?
“结硬寨,打呆仗”的理论分析
IPO大法实战注意事项
验证工程师的“升迁”之路
设计人员更新了代码,可是我却不知道
基于通过准则的monitor、断言设计
验证工程师学习的“边界”在哪里?
了解uvm_reg_field的意义
勘误,关于+incdir
最简“资源池”建模
monitor设计方法
仿真验证的“无功之过”
旗语(semaphore)在UVM中的应用
UVM-1.2ReleaseNote信息说明
从“打字员受贿400余万元”谈流量控制
uvm_event的trigger函数到底做了什么
UVM-1.2更新补遗
验证工程师应具备怎样的心理素质?
设计driver的第三步:时序生成
你如何处理仿真产生的临时库文件?
设计driver的第二步:推拉结构
验证团队怎样“三省吾身”?
UVM-1.2到底更新了什么?(最后一期)
设计driver的第一步
UVM-1.2到底更新了什么?(第五期)
IPO大法第六课,Output
一步步设计interface
UVM-1.2到底更新了什么?(第四期)
IPO大法第五课,P的六大方法
UVM-1.2到底更新了什么?(第三期)
有没有一个开发工程师让你咬牙切齿?
IPO大法第四课,不是黑猫白猫那么简单
时间的朋友——写给和我一样的IC验证工程师
【转自南方周末】【2012年新年献辞】像一束光簇拥另一束光
【转自南方周末】【2016年新年献词】在巨变的时代相依前行
UVM-1.2到底更新了什么?(第二期)
IPO大法第三课,感受美味的拿破仑
圣诞节,别忘了打包
UVM-1.2到底更新了什么?(第一期)
IPO大法第二课,分析控制接口
可以这样打印item,你知道吗?
使用UVM-1.2版本的准备工作
验证工程师为什么要面向对象
使用VCS调用Verdi进行UVMdebug
未来70%的验证工程师更像是软件工程师
验证工程师需要理解的环境变量
IPO大法第一课,从输入一个数据包开始
验证平台为什么要分层
那些容易似是而非的RTL验证仿真参数(irun)
IPO——小规模人列计算机的实现方法
验证平台的interface如何设置时钟
基于大数据思想,对问题单管理方法的畅想

Jian的ATE学习手记

祝各位女工程师们节日快乐~
电源是如何影响波形的
【TinyTips】Site性能不一致怎么办
SCAN-比知道多一点(4)
SCAN-比知道多一点(3)
SCAN-比知道多一点(2)
公众号两周年
SCAN-比知道多一点(1)
文本消息_1532127483
控制Relay哪家强?PE还是UDB?
PMBus学习笔记-Pattern怎么写
PMBus学习笔记(2)-波形怎么凑
PMBus学习笔记(1)
【补课】史密斯圆图
文本消息_1526072204
文本消息_1525835639
【TinyTips】关于FreqCounter的调试
文本消息_1523660400
【TinyTips】电源地要不要加磁珠?
高速数字接口学习笔记(3)-CMLLogic
高速数字接口学习笔记(2)
高速数字接口学习笔记(1)
文本消息_1517615855
ProbeCard的SI分析实验
【TinyTips】测试不稳定怎么办(2)
【TinyTips】测试不稳定怎么办
文本消息_1509145200
SI学习笔记-TDR
传输线Crosstalk的近似公式
【TinyTips】IOStory(2)
【TinyTips】IOstory
文本消息_1506121200
高带宽电源的设计和电容选择(5)
高带宽电源的设计和电容选择(4)
高带宽电源的设计和电容选择(3)
高带宽电源的设计和电容选择(2)
高带宽电源的设计和电容选择(1)
一周年零七天
都是电源,怎么差别那么大?
【TinyTips】J750HPT勤查bug少做功
【TinyTips】我怀疑我看到了一个假波形
高速信号的Jitter
S参数的基础知识
文本消息_1499447187
眼图的基础知识
TightCouplevs.LooseCouple
CoaxialConnector小结(2)
Win10安装HFSS15和SIWave7
CoaxialConnector小结(1)
减少接触电阻:除了清针我们还能做什么?
CP测试时的接触电阻
今晚的直播
测试简史
ImageSensorCorrelation
文本消息_1493421992
LoadBoard那么大,走线宽一点
【TinyTips】DDR2TestinCP(2)
【TinyTips】DDR2TestinJ750ExCP(1)
【TinyTips】PowerStability&YieldCorrelation
没有了动态负载,还能愉快地测试吗?
这个Harmonic有点眼熟,好像在哪里见过
【TinyTips】J750MSO-GoExtraMiles!
【TechTalks】当我们谈2万根powerpin时,我们谈些什么
DCDCConverter(SwitchRegulator)
ImageSensor测试的基本方法
【TinyTips】Tester的ProductionMode
走进Regulator(4):到底应该怎么选Regulator?
给大家拜年啦~
走进Regulator(3)原来你是这样的LDO
走进Regulator(2):什么是LinearRegulator
走进Regulator(1):谁的LDO在震荡?
【非技术文艺向】那些年我们用过的封面
【π会玩】树莓派(Raspberryπ)系列讲座1
Python初体验(3)–传参、外部库、正则表达式
文本消息_1481935658
那些年,我们一起测的ImageSensor
ADC的动与静(3):DNLvs.SNR
ADC的动与静(2):INLvs.SFDR
ADC的动与静(1):量化噪声vs.ENOB
关于最近的Topic
Best-fitline测DNL,站在巨人的肩膀望错了方向
上课不要看小说!Sinewave的Histogram
上课不要看小说!线性回归和最小二乘
【非技术纯段子】其实我更关心正太分布
见鬼了!测试时间影响SNR!(2)
见鬼了!测试时间影响SNR!(1)
ProbeCardPCB(6)-Review
ProbeCardPCB(5)-Review
【往期精彩评论】关于Power换层
Histogram测DNL,世界并不如你所见的那么美
ProbeCardPCB(4)–DesignNote
Python初体验(2)-读取、匹配和写入
Python初体验(1)–安装、新建和运行
ProbeCardPCB(3)–DUTCircuit
ProbeCardPCB-Relay&UserPower
ProbeCardPCB设计心得(一)
【上期精彩评论】关于CantileverPC
Hello!女工程师:)
CantileverProbeCardDesign心得(二)
【上期精彩评论】关于CantileverPC心得(一)
CantileverProbeCardDesign心得(一)

OpenFPGA

碎碎思祝您端午节安康
SystemGenerator从入门到放弃(一)-安装与使用
SystemGenerator从入门到放弃(二)-DigitalFilter
SystemGenerator从入门到放弃(三)-DigitalFilter
SystemGenerator从入门到放弃(四)-利用MCode调用MATLAB代码
SystemGenerator从入门到放弃(五)-BlackBox调用HDL代码
SystemGenerator从入门到放弃(六)-利用VivadoHLSblock实现VivadoHLS调用CC++代码
SystemGenerator从入门到放弃(七)-不同溢出与量化方式的对比
SystemGenerator从入门到放弃(八)-使用多时钟域实现多速率系统设计
英伟达_2020及近几年数字IC笔试
数模接口设计JESD204B协议、VivadoLicense及相关参考设计
一文学会使用全球第四大数字芯片仿真器iverilog!
Vivado2020.1开放下载,中文资料随贴奉送
求职特刊-数百万粉丝的公众号群为您精选的求职秘籍暨IC技术圈期刊2020年第06期
modelsimse2019.2安装教程
后MATLAB时代的七种开源替代,一种堪称完美!
华为_2020数字IC笔试
vivado2018中使用modelsim联合仿真
CORDIC算法详解(六)-CORDIC算法的硬件实现
CORDIC算法详解(五)-统一的CORDIC算法形式
CORDIC算法详解(四)-CORDIC算法之双曲系统及其数学应用
CORDIC算法详解(三)-CORDIC算法之线性系统及其数学应用
CORDIC算法详解(二)-CORDIC算法之圆周系统之向量模式
CORDIC算法详解(一)-CORDIC算法之圆周系统之旋转模式
千兆PHY详解及调试举例
华为经典FPGA设计全套入门技巧资料分享
FPGA的高端应用案例
10G以太网光口与Aurora接口回环实验
100G以太网光口的FPGA测试实例
基于FPGA的网口通信设计(完结)
MII2RGMIIIP核使用设计举例
基于TCPIP协议的电口通信
Microblaze搭建LWIP
基于TCPIP协议的光口通信
Aurora8B10B光口通信
Xilinx常用Alveo技术文档资源列表(全中文)
20分钟搞定DFX(动态功能交换)布局规划
基于UDPIP协议的光口通信
SFP介绍
利用IBERT进行GTX信号眼图测试
PHY_MDIO接口设计
基于原语的千兆以太网RGMII接口设计
Xilinx原语的用法
给女生的礼物,你送了嘛?
基于UDPIP协议的电口通信(三)
基于UDPIP协议的电口通信(二)
基于UDPIP协议的电口通信(一)
基于FPGA的网口通信实例设计
FPGA千兆网TCP通信分析
千兆网UDP通信
属于FPGA设计的“后浪”,如此强大的Xilinx在线资源,十年用户也不见得都能找全!
FPGA实现网口通信的几种方式
PHY(PhysicalLayer,PHY)通俗理解
GMII、SGMII和SerDes的区别和联系
媒体独立接口(MII,MeidaIndependentInterface)
Vivado-hls使用实例
ZYNQ学习路线
媒体访问控制(MAC,MediaAccessControl)
OSI、TCPIP、IEEE802的区别
后面尾缀-T、-X、-TX…分别表示的意思
以太网遵循的IEEE802.3标准
TCP和UDP
开源H.265IPcore
一大波HLS设计资料来了
一大波HLS设计资料来了-跟XilinxSAE学HLS系列视频讲座-跟我学HLS
2020版深入浅出玩转FPGA视频教程
Vitis尝鲜(四)-Vitis相关应用视频
TCPIP模型
例说七层OSI参考模型
Vitis尝鲜(三)
Vitis尝鲜(二)
Vitis尝鲜(一)
FPGA和外围接口(继续更新)基于FPGA的网口通信设计
Vitis资料分享
Xilinx祭出Vitis统一软件平台,面向软硬件和AI等所有开发者
Vitis开发板资料分享
原理图输入设计历史(二)
原理图输入设计历史(一)
Verilog数字VLSI设计教程【PDF+光盘】
【图书】VLSI数字信号处理系统:设计与实现【中文版】[K.Parhi著]+讲解教程(中文)
VivadoSDK怎么添加函数?
如何用数字ICFPGA实现算法
记忆插画师-脑中的FPGA电路
从IP开始,学习数字逻辑:FIFO篇(下)
从IP开始,学习数字逻辑:BRAM篇(上)
从IP开始,学习数字逻辑:DataMover进阶篇(二)
从IP开始,学习数字逻辑:FIFO篇(上)
Notepad++编辑器——Verilog、代码片段、直接编译
关于《基于FPGA的软件无线电高级培训班》说明
基于FPGA的软件无线电高级培训班的精品资料
Xilinx7系列FPGA入门级图像处理-完整版V1
你的硬件安全吗?-硬件木马说
最新XilinxvivadoIP许可申请
绘制状态机小工具
夏宇闻著作:从算法设计到硬线逻辑的实现
清华大学研究生大规模数字集成电路讲义(含作业答案)
OpenFPGA给您拜年了!
FPGA设计原则总结
FPGA高手养成记-Testbench文件结构一览无余
Xilinx7系列FPGA入门级图像处理终结篇
灰度图像的Sobel边缘检测算法的HDL实现(二)
灰度图像的Sobel边缘检测算法的HDL实现(一)
灰度图像的均值滤波算法的HDL实现
FPGA全网视频教程大搜罗,共14套,完整版
YCbCr422转RGB888的HDL实现
新的一年我们继续同行
Vivado从此开始(PDF+电子ppt)+Vivado入门与提高(视频)-高亚军
RGB888转YCbCr444算法的HDL实现
基于OV5640的FPGA-DDRHDMI显示
基于OV5640的FPGA-RAMHDMI显示
基于FPGA的HDMI显示(二)
基于FPGA的HDMI显示(一)
Testbench编写指南(4)自动化验证方法
Testbench编写指南(3)模块化工程的仿真方法
Testbench编写指南(2)文件的读写操作
Testbench编写指南(1)基本组成与示例
华为内部的tcl教程
FPGA并行编程-以HLS实现数字信号处理
FPGA设计指南:器件、工具和流程+HLS
FPGA设计高级进阶-清华大学电子工程系
承上启下
一个fpga实现的基于noc的mpsoc的源代码及asic工程
NoC
FPGA的调试-调试设计的指导原则
FPGA的调试-虚拟JTAG
解决FPGA时序问题的八大忠告
FPGA的调试-在线存储器内容编辑工具(In-systemMemoryContentEditor)
何宾老师谈对学习FPGA的一些思考
Hotchips2019会议论文
FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例
FPGA的调试-LogicLock
Verilog与SystemVerilog编程陷阱:如何避免101个常犯的编码错误
数字信号处理的FPGA实现(第4版)(中+英+源码)
基于FPGA实现数字信号处理的特级图书
XilinxFPGA数字信号处理
黑金《FPGA那些事儿》(十四部全)
【IntelFPGA】AdvancedSynthesisCookbook
时钟切换电路(clockswitchingglitchfree)英文版
xilinx平台DDR3设计中文版教程
XILINXFPGAVerilog编程大全2015
ALTERAFPGA开发板CYCLONE10AX102510061016开发板资料
FPGA和图像处理
【正点原子】ZYNQ资料
黑金开发板实现PYNQ
【ZYNQ】XilinxZYNQ资料
CPU自制入门
Xilinx系列FPGA芯片IP核详解
中文FPGA又一神作级资料《玩转IPCORE》18篇全收录
XilinxFPGA应用进阶通用IP核详解和设计开发+
ARTIX-7FPGA开发平台黑金AX7103AX7A200
ARTIX-7FPGA开发平台黑金AX7101
菜鸟5小时速成FPGA_PCIE设计高手教程
轻松实现高速串行I_O,有助于理解GTPGTXSERDES工作原理
轻松实现高速串行I_O,有助于理解GTPGTX工作原理
华为高速数字电路设计教材中英文
AXU3CG开发板
基于FPGA的图像边缘检测系统
基于FPGA的图像边缘检测系统(一)-原理
基于FPGA的图像边缘检测系统(二)-原理
基于FPGA的图像边缘检测系统(三)-设计实现
FPGA自学笔记——设计与验证(书+源码V1.7)
Altera官方FPGA电机控制的中文文档
【ZYNQ】特权老师XilinxZYNQ资料
【ZYNQ】黑金XilinxZYNQ资料(7015)
【ZYNQ】米联XilinxZYNQ资料(7020)
【ZYNQ】米联客XilinxZYNQ资料(7035)
《深入浅出玩转FPGA》书+视频教程:35课时+源码
中秋节快乐
感谢生命中出现的每一位师者
FPGA设计实战图灵经典书籍
VerilogHDL高级数字设计(第二版)书签+源码
USB4规范公布基于雷电3Type-C接口带宽达40Gbps
【小梅哥FPGA】【设计实例】基于FPGA的图像处理设计实例工程
LVDS和MIPI区别
FPGA时序分析
FIFO使用经验
USB3.0协议规范中文解读
《高级FPGA设计结构、实现和优化》AdvancedFPGADesign中英文清晰版
同步时钟电路设计及其与异步时钟信号交互的问题
下载】ZynqBook中+英+源码
赛灵思发布世界最大FPGA芯片:350亿晶体管
Vivado入门书籍推荐
华为FPGA+硬件培训资料【文档】
SD卡hostcontrollerIPcoreVerilog代码(包含仿真平台)
2.3基于FPGA的UART协议实现(二)简单UART传输FPGA实现
嵌入式系统软硬件协同设计实战指南:基于zynq(图书+源码)(更新2019-08-11)
2.3基于FPGA的UART协议实现(二)UART传输时序分析
嵌入式系统软硬件协同设计实战指南:基于zynq(图书+源码)
2.2SPI协议的FPGA实现
PCIEx4Gen2高速数据传输
2.1IIC协议的FPGA实现(二)IIC协议的FPGA实现
2.1IIC协议的FPGA实现(一)IIC总线规范简介
FPGA和外围接口-第一章爱上FPGA(1.8FPGA和数码管)(一)
FPGA和外围接口-第一章爱上FPGA(1.8FPGA和数码管)(二)
赛灵思收购云编解码器创企NGCodec,提高FPGA编码技术
FPGA和外围接口-第一章爱上FPGA(1.7爱上FPGA从流水灯开始)
微软最新发布FPGA深度学习云平台
XilinxAISDK用户指南发布了
FPGA[视频+文档+例程]170G相关资料放送
SystemVerilogAssertions应用指南
Vivado入门与提高(1~41)-高亚军
FPGA和外围接口-第一章爱上FPGA(1.4FPGA开源网站和论坛))
FPGA和外围接口-第一章爱上FPGA(1.3.31.3.4))
FPGA和外围接口-第一章爱上FPGA(1.5爱上FPGA从计数器开始))
FPGA和外围接口-第一章爱上FPGA(1.3.2IntelFPGA主流芯片选型))
PCIe5.0标准
[QuartusPrime][18.0正式版][下载链接和破解器]
AI时代FPGA何去何从?
实现时钟任意比例分频-离散时间振荡器(DTO)的设计
任意多项式,任意位宽crcverilog代码自动生成perl脚本
Achronix推7nmFPGA,支持GDDR6高带宽存储
FPGA和外围接口-第一章爱上FPGA(1.3.1XilinxFPGA主流芯片选型))
FPGA和外围接口-第一章爱上FPGA
Vivado入门与提高(26~35)-高亚军
Vivado入门与提高(13~25)-高亚军
Vivado入门与提高(5~12)-高亚军
Vivado入门与提高-高亚军
SystemGenerator从入门到放弃(九)-利用VivadoHLSblock实现VivadoHLS调用CC++代码
杜勇老师图书合集【图书源码】
赛灵思宣布收购Solarflare,加速向平台公司转型
深入理解阻塞和非阻塞赋值的区别
【转发】《趣味FPGA》-5年经验分享
FPGA-强大的verilog编写环境
FPGA开发全攻略
跨越鸿沟_同步世界中的异步信号_CrossClockDomain_design
VIP_MT9V034、VIP_MT9M001、VIP_OV5640、VIP_OV7725详细资料
Intel发布发全新AgilexFPGA
DC入门筛选出来的好资料(官方,详细,系统)——StudentGuide+LabGuide+Lab
AlteraFPGA/CPLD设计基础篇+高级篇(附随书光盘)
OpenFPGA留言测试
傅里叶变换-通彻理解
Xilinx7系列FPGA概览
【分享】FPGA和外围接口设计
【分享】XilinxFPGA伴你玩转USB3.0和LVDS
FPGA主流芯片选型指导和命名规则(二)
FPGA主流芯片选型指导和命名规则(一)
【分享】FPGA数字信号处理相关书籍
PLL、DLL、DCM区别及应用
FPGA知识图谱
FPGAFanout-Fanin(扇入扇出)
基于FPGA的数字表示
组合逻辑设计中的毛刺现象
FPGA全局时钟系统的设计
时钟属性jitter和skew
casecasezcasex区别与综合
同步FIFO和异步FIFO
FPGA为何逆势走强?
MWC2019丨英特尔第三代加速卡登场,助力交付5G网络服务
Xilinx与Altera的FPGA区别
FPGA的功耗概念与低功耗设计研究
‘SHIT’上最全有限状态机设计研究(五)-时钟同步状态机设计2
‘SHIT’上最全有限状态机设计研究(四)-时钟同步状态机设计1
‘SHIT’上最全有限状态机设计研究(三)-时钟同步状态机分析2
我的博客
‘SHIT’上最全有限状态机设计研究(二)-时钟同步状态机分析1
‘SHIT’上最全有限状态机设计研究(一)-状态机介绍

PYNQ开源社区

100个PYNQ的类脑计算集群是怎样的体验?
PYNQ经典项目分享可重配置IO
讲座视频通过PYNQ框架将Python生态延伸至Xilinx可编程平台
周末创客电机控制
相机新玩法PYNQ的散射成像相机CCCam
竞赛作品分享I基于PYNQ集群的无监督图像识别类脑计算平台(一)
DPU-PYNQ今天发布啦!!!
公民科学家用PYNQ+Ultra96为抗击疫情做贡献
资深创客五一,5天,自制一个RISC-V
周末创客OV摄像头视频通路设计实现与图像处理案例
周末创客快速实现物联网应用-以Greengrass为例
周末创客PYNQOverlay-分类器
周末创客Grove传感器系列(一)
PYNQ软件框架研讨会视频回顾
周末创客PYNQ传感器数据可视化一网打尽
使用PyPI来发布FPGAOverlays
PYNQ经典项目分享之-智能音箱
PYNQ经典项目分享之-超声测距
在家远程用PYNQ,可以有!
可定制计算的设计自动化-丛京生院士在2020年ASP-DAC的主旨演讲
PYNQ&HLS跟着北大CECA学FPGA加速器设计(六)-完结篇
PYNQ&HLS跟着北大CECA学FPGA加速器设计(五)
PYNQ新版本发布-支持Alveo自适应加速器卡
PYNQ&HLS跟着北大CECA学FPGA加速器设计(四)
PYNQ经典项目分享之-HelloWorld
你是几岁开始接触Python的?玩转Pynq的9岁天才儿童要大学毕业了!
PYNQ框架&Ultra96BBM-桥梁损坏检测维护
PYNQ&HLS跟着北大CECA学FPGA加速器设计(三)
DAC西安交大快速目标检测开源方案展示
Pynq框架&Ultra96FPGA加速N粒子重力并行模拟
DAC2019西安交大获得DAC快速目标检测竞赛国内第一,全球第二
骑驴玩儿漂移-FPGA之PYNQ-Z2入门学习笔记1
杂谈三张图和苹果发布会的遗珠快速了解FPGA在体系结构黄金时代的应用优势
Xilinx灵活应变的数据中心方案,专用IP资源一览
PYNQ&HLS跟着北大CECA学FPGA加速器设计(二)
Xilinx在火星探测漫游者计划的过去,现在和未来!
参与国际顶会竞赛-展示你低功耗视觉处理的能力
PYNQ在第二届全国FPGA竞赛与各路豪杰相会的高光时刻!
双十二福利!点击申请你的免费PYNQ板卡!
PYNQ系列学习(五)JupyterNotebook介绍
PYNQ入门资料之FAQ征集令!
PYNQ系列学习(一)pynq开发环境配置
PYNQ系列学习(四)pynq与zynq对比(三)
学好PYNQ也能赚钱?知识就是力量!
Nature专栏:为什么数据科学家会选择Jupyter
PYNQ系列学习(二)pynq与zynq对比(一)
PYNQ系列学习(三)pynq与zynq对比(二)
嵌入式工程师如何用好GitHub
十分钟开发自己的AI
十分钟开发自己的IoT
PYNQ带你开跑智慧电梯黑客马拉松!
PYNQ入门资料集锦
这个PYNQ工作坊为专业Maker而来MakerFaireShenzhen2018
中奖名单公布,速来领奖!

StarryHeavensAbove

寻找AI芯片的下一个关键Benchmark
如何加速推荐系统?这是个高价值问题
撰写《智能计算系统》之思考『文末有福利』
一图理清NvidiaAI软件栈
设计仓储级计算机『文末有福利』
AI芯片与相关产业观察和展望
Groq,“软件定义硬件”概念的背后
深度剖析AI芯片初创公司Graphcore的IPU
AI芯片的第一次同场竞技-数据,及数据背后
AIChipLandscape的最新更新。我们可以看到很多Startup公司发布了产品,但要最终成功,仍然有很长的路要走。如果你要使用这幅图,请使用最新版本。如果想看到更多信息或这幅图的高清版本,请访问httpsbasicmi.github.ioAI-Chip。
PolyhedralModel—AI芯片软硬件优化利器(三)
PolyhedralModel—AI芯片软硬件优化利器(二)
PolyhedralModel—AI芯片软硬件优化利器(一)
除了史上最大芯片,HotChips还有哪些看点?
最近更新了AI芯片全景图,同时加了版本号和发布时间。欢迎大家使用最新版本。另外,使用时并请注明作者:唐杉;出处:AIChipList(httpsbasicmi.github.ioAI-Chip)。如需要更高清晰度的图片,可以在网站resource目录下载。
AI芯片“软硬件协同设计”的理想与实践
StarryHeavensAboveAI芯片文章导读
文本消息_1564307603
AI芯片全景
为云而生又生于云中的芯片给我们的启示
AI芯片的“冷”与“热”
不容错过的AI硬件峰会(内有福利)
多角度解析TeslaFSD自动驾驶芯片
专用处理器设计
后通用芯片时代:专用芯片兴起背后的经济学
数据中心AIInference芯片今年能达到什么样的性能?
AI芯片0.5与2.0
从AIChip到AIChiplet
过年了,走访一下奋斗中的AI芯片初创公司吧
在体系结构黄金期,ESL设计方法学能否“焕发青春”
AIMLDNN硬件加速设计怎么入门?
后摩尔时代我们的机会在哪里?
AI芯片在5G中的机会
来自Brett的AI芯片报告(最新观察)
AIInference芯片血战开始
HotChips30-巨头们亮“肌肉”
HotChips30-机器学习
HotChips30,黄金时代的缩影
推荐一份AI芯片报告
“全栈”开源的VTA会给AI芯片产业带来什么?
从ISCA论文看AI硬件加速的新技巧
一窥ARM的AI处理器
如何评测AI系统?
GoogleTPU3看点
黄金时代
如何设计一颗40PFLOPS量级的AI芯片?
“传说中”的异步电路是否能在AI芯片中异军突起?
ML+System=
AI芯片开年
StarryHeavensAbove文章导读
细读EETimes的AI芯片文章
语音及文本类AI芯片的需求分析
浅析图像视频类AI芯片的灵活度
文本消息_1514734420
2017AI芯片元年
PetascaleAI芯片Vathys:靠谱项目?清奇脑洞?还是放卫星?
七分钟片段:Slaughterbots
Groq把AI芯片的性能推向新高
给DNN处理器跑个分-BenchIP
给DNN处理器跑个分-指标篇
给DNN处理器跑个分-设计篇
文本消息_1508923800
梳理一下我的文章
通过DARPA项目看看芯片世界的“远方”-自动化工具和开源硬件
通过DARPA项目看看芯片世界的“远方”-Architectures
Hot(AI)Chips2017
文本消息_1503839752
DeepLearning的IR“之争”
文本消息_1502703000
ProcessorsforAIaList
AI+5G=高通的未来汽车之道
解密又一个xPU:Graphcore的IPU
文本消息_1500552401
文本消息_1499867100
DeepLearningHardware-我的文章
智慧云中的FPGA
中国初创公司在AI芯片(IP)领域的机会
追求极限性能的芯片设计方法(四)
追求极限性能的芯片设计方法(三)
追求极限性能的芯片设计方法(二)
追求极限性能的芯片设计方法(一)
AI芯片架构的争论真有意义吗?
从Nvidia开源深度学习加速器说起
自己动手设计专用处理器!
NvidiaVolta-架构看点
文本消息_1494333930
神经网络DSP核的一桌麻将终于凑齐了
超人类AI真会接管未来吗?
AI会给芯片设计带来什么?
文本消息_1493089655
如何做神经网络处理器的需求分析?
文本消息_1492678955
你会信任驾驶座上的AI吗?
文本消息_1492311520
脉动阵列-因GoogleTPU获得新生
深度神经网络的模型·硬件联合优化
Google的神经网络处理器专利
GoogleTPU揭密
一张图看看人工智能各大“门派”
高通的HexagonDSP
阶段总结和节目预告
Cadence(Tensilica)的可定制处理器
专用处理器设计方法&工具
文本消息_1490319130
处理器IP厂商的机器学习方案-CEVA
处理器IP厂商的机器学习方案-ARM
处理器IP厂商的机器学习方案-背景
十年前写的书和五年前计划写的书
当我们设计一个专用处理器的时候我们在干什么?(风险)
当我们设计一个专用处理器的时候我们在干什么?(设计方法&工具)
初创公司在人工智能芯片(IP)领域的机会
ISSCC2017Deep-LearningProcessors导读文章汇总
Edge2017年度问题选读(1)
当我们设计一个专用处理器的时候我们在干什么?(微结构)
当我们设计一个专用处理器的时候我们在干什么?(指令集)
当我们设计一个专用处理器的时候我们在干什么?(上)
武器AI宣传机的兴起
嵌入式机器学习处理器的技术挑战和机会
ISSCC2017Deep-LearningProcessors文章学习(总结)
ISSCC2017Deep-LearningProcessors文章学习(七)
WHATDOYOUTHINKABOUTMACHINESTHATTHINK
机器翻译小测试
分析一下MIT的智能语音识别芯片
ISSCC2017Deep-LearningProcessors文章学习(五)
智能边缘计算里的Always-on
ISSCC2017Deep-LearningProcessors文章学习(四)
ISSCC2017Deep-LearningProcessors文章学习(三)
ISSCC2017Deep-LearningProcessors文章学习(二)
ISSCC2017Deep-LearningProcessors文章学习(一)
上帝视角如何抉择
读托克维尔的《论美国的民主》
朱光潜《谈美》提要
没有答案才是自由之境
测试

Zechen的小本本

使用Python处理Word文档
PythonGUI编程之tkinter(一)
Python如何使用Python操作Excel(二)
杂说假期回家为什么会感到不适应,不开心,不舒服?
Python使用Python操作Excel文档(一)
工具篇使用you-get保存你喜欢的视频
工具篇Python虚拟环境的搭建与管理【virtualenvvirtualenv-wrapper】
文本消息_1559477938
都是程序员,凭什么他能站在鄙视链的顶端?
与虚拟机交互文件的3种方式
致读者Andy:关于初学者学习Python需要注意的问题!
荐号听说本文很赞!
“写在来到上海一周年,久违的大更新!”
论书《Python基础教程(第二版)》
故事讲讲真实程序员的故事,不励志,但是很真实!
荐号优秀的编程圈子
思考“你能为读者提供什么?”这是我这段时间以来的一点思考
“5月内容整理,一文了解5月文章精华”
PythonDebugger和pdb,鸡肋否?
工具篇“X系列软件的替代方案”
Python整理一些模块,不用也能拿来吹
工具篇“手把手教你搭建一个全能的学习环境(包括但不限于Python)”
Python“简易清单(EasyBill)增强版”
PythonTimeMarker时间标签(附详细注释)
Python“万年历——日期查询”
Python写了个钟
Python“一个简单的清单软件easybill”
荐号当你对编程感到迷茫时
Python“当包管理器不能帮你安装pip时怎么办?”
“五月即将开始,四月都做了点啥呢?”
Python6大数据类型方法归纳总结(下)
Python6大数据类型方法归纳总结(中)
Python6大数据类型方法归纳总结(上)
Python“Python太火,我都不敢不把这些告诉你”
PythonPython命令行参数学习
“关于读书,你该知道的真相”
Linux像Windows一样,复制移动重命名等操作
文本消息_1524490099
“我为什么要写这篇文章”
Python“极简入门教程”
Linux从windows来到Linux,目录操作
读书三本书十五句话
Linux“搭建verilog学习环境”
Linux从自助开始
Linux26条Shell常用命令(面向小白)
清明你的法定假日~
“聊一聊Linux的工作环境”
“说说古诗词”“百无一用是书生”
“不可不学是编程,不可不用是git”github篇
寒门研究生不堪承受精神压迫,跳楼自杀……
Linux下与github建立ssh连接
“不可不学是编程,不可不用是git”git篇
干货“Java学习资料450G”
“推荐给新手的几个编程酷站,最后一个最骚包!”
每天背首古诗词《春日》朱熹
干货“免费领取Python资源(含AI部分)”
“Ubuntu16.04桌面版使用体验报告”
“你有多久没有读完一本书了?”
文本消息_1521813519
干货“免费领取CC++学习资源65G~”
“你的情绪为何总被他人左右?”
“看书还有用吗?”

ZYNQ

想进大厂?70道数字IC设计面试经典题送给你(附答案)
值得收藏的常见数字IC、FPGA工程师面试题(附答案)
白嫖一块米联客MA704FAXILINXFPGA开发板
推荐一款命令行利器WindowsTerminal(附安装教程,自定义超炫界面)
实用技能完全免费的作图工具—draw.io
安利一款开源OCR工具,可快速提取截屏文字!
半导体芯片行业的投资思考
XilinxSDK简介
FPGA构建环境可以实现自动化?
【开发必看】一文了解Xilinx的“全局”
如何使用Xilinx文档导航
FPGA基础知识及其工作原理
零基础入门FPGA,如何学习?
XilinxFPGA上的单源SYCLC++
Linux设备驱动程序简介-第2部分平台和字符驱动程序
基于ZYNQ的机器视觉应用实例
使用XilinxSDK在5分钟内创建HelloWorld
文本消息_1589038860
Linux用户空间器件驱动
这样下载Xilinx文件,又快,又可靠
面向Zynq的ZedBoard简介
易灵思FPGA特种兵实战教程
XilinxSDK系统性能工具简介
Xilinx发布2020财年财报,全年营收增长3%
了解如何使用XilinxSDK创建Linux应用
使用SDK调试U-Boot
如何使用XSCT进行开发和调试
SDK2015.1的最新信息
SDK2015.3的最新信息
嵌入式软件与工具2019.1的最新信息
ZynqIO重点
限人加群ZYNQFPGA学习交流
Vitis统一软件平台介绍
2018.3最新内容-嵌入式软件与工具
为什么使用Zynq
Zynq7000关于嵌入式的视频,都在这里了
Xilinx和Topic医疗开发平台
Topic推出基于Zynq的新产品并展示医疗解决方案
Xilinx参与ARMTechCon主题嵌入式系统
Xilinx在嵌入式世界模拟设备公司
ZYNQ开发板推荐
为Zynq-7000AP定制BSP
Xilinx参加嵌入式世界主题嵌入式系统
XilinxatEmbeddedWorldARM
Xilinx参加世界嵌入式Mathworks
Xilinx参加嵌入式世界国家仪器
嵌入式视觉与工业物联网集锦
ZYNQ资料推荐
ZYNQ开发工具
Xilinx嵌入式软件堆栈
Vitis加速库
VitisAI
UltraFast嵌入式方法清单清单
WindowsEmbeddedCompact7简介
Zynq-7000参展EmbeddedWorld
初学者对ZYNQ的一些疑惑和BlackGold板入门视频
Zynq开发工具概述
VivadoDesignSuite安装简介
SDSoC开发环境(中文字幕)演示
SDAccel开发环境介绍
Vitis统一软件平台简介
官方HLS视频教程汇总
06_PS的脉动定时器中断ps_timer
07_来自PL的按键中断ps_axi_key
08_自定义IP呼吸灯pwm_led
10_vdma视频入门第一步hdmi_out
11_zynq程序固化烧写
12_虚拟机安装使用ubuntu系统
文本消息_1586072945
01_Windows下安装vivado
02_Vivado初体验Led工程
03_HDMI输出测试
04_PS初体验ps_hello
05_PS和PL的简单结合ps_axi_led
【HLS视频教程17】FOR循环优化—数据流
【HLS视频教程18】FOR循环优化—嵌套的FOR循环
【HLS视频教程19】FOR循环优化—其他优化方法
【HLS视频教程20】数组优化—数组分割
【HLS视频教程21】数组优化—数组映射和重组
【HLS视频教程22】数组优化-其他优化方法
【HLS视频教程23】VivadoHLS函数层面的优化
【HLS视频教程24】案例分析
【HLS视频教程9】VivadoHLS下CC++测试平台的基本架构
【HLS视频教程10】描述高效的C测试平台-测试激励
【HLS视频教程11】描述高效的C测试平台-输出监测与格式控制
【HLS视频教程12】接口综合—基本介绍
【HLS视频教程13】接口综合-对数组的处理
【HLS视频教程14】接口综合-其他案例演示
【HLS视频教程15】for循环优化—基本性能指标
【HLS视频教程16】for循环优化—循环合并
【HLS视频教程1】软件工程师该怎么了解FPGA架构?
【HLS视频教程2】HLS工作机制
【HLS视频教程3】VivadoHLS设计流程介绍
【HLS视频教程4】VivadoHLS设计流程–实例演示
【HLS视频教程5】如何处理任意精度的数据类型
【HLS视频教程6】数据类型的转换
【HLS视频教程7】了解HLS中的复合数据类型
【HLS视频教程8】VivadoHLS中的C++基本运算
疫情结束后,第一个想见的就是你
拒绝“丧偶式教育”,智慧扶持丈夫的父亲角色
没有条件生二胎,独生子女家庭该怎么办?
前言

不忘出芯

A013:没有银弹,没有圣杯
A012:拧螺丝的技能
技术日新月异职场人来人往欢迎关注IC技术圈一起加油奥利给
A011:今天你加班吗?
A010芯片产品定义
687天,952人要不要解散?再等一周吧6月1日,1000人?或者给自己一个偷懒的机会……
一个人走得快一群人走得远欢迎关注IC技术圈一起加油奥利给
A009:构建系统化的IC知识体系
A008扯淡:时间管理
A007漫谈:芯片全流程
A006好为人师:聊聊IC入门学习
A005模块设计流程(4)
A004-愚人节特刊
A003-模块设计流程(3)
A002-模块设计流程(2)
A001-模块设计流程
玩法说明:付费阅读
小号2周年,10点总结
中年男人的梦想:养鸡,种树,花呗
文档:沟通的工具(3)
文档:沟通的工具(2)
文档:沟通的工具
文档:扯淡的法门
【一起学Verilog】2020年第二期活动
如何拆分包含多个module的Verilog文件
抽象,抽大象
老司机带你飞:玩好Verilog的7种常见姿势
学习Verilog的三个阶段
无剑
怼怂
卧槽,大神
来,一起扯淡呗
【不忘出芯的朋友圈】2019合集
有钱没钱,回家过年
【资料分享】芯片复位问题整理(30页pdf)
【一起学Verilog】2020年第一期活动
【资料分享】Verilog99题合集(105页pdf)
【致敬同行】那些值得关注的IC公众号
告别2019:说出你的新年愿望,让世界听到你的声音
【不忘出芯】展望2020
2019总结:减肥尚未成功,创业还差一口锅,从周期性996过度到了不定期7x24
这是一篇广告,请不要点开
文本消息_1574767035
如何系统地了解一个行业?
工程师的甩锅艺术
昨天,删除了800多GB的专业资料
看了ICCAD的报告,一起来谈谈钱
【非官方发布】ICCAD2019参观指南
程序员创业,就差一口锅了
美女,鼓励师
鸡鸭鹅兔,王中王
煎饼头条观察
重磅!阿诗玛官方辟谣
抢购
众筹
太南了
跨界,加盟
月入三万在煎饼圈什么水平?
梨花酱,品味1024
咦呦喂,阿诗玛,断供
浆糊,艺术
真香,只有一个
今天的云,好漂亮
唉,这样也行
请仔细阅读使用手册
欠债,还钱,理想,主义
食堂伙食差,会影响ic工程师的职业发展吗?
基于项目的知识管理,面向产品的技能学习
【砖坑水】文章合集201910
建议征集中,期待你的参与
【砖坑水】书不可以乱读,代码可以随便看吗?
【IC求职交流】编程语言之争:C语言过时了吗?
【IC求职交流】IC工程师的技能树
【IC求职交流】横有多长,竖有多深?
伟大的祖国,生日快乐!
IC自媒体访谈:硅农
一片寒光照铁衣,八百标兵奔北坡
【IC求职交流】想找一份什么样的工作?
【IC求职交流】2019年还剩100天
IC自媒体访谈:阿辉说
【IC求职交流】搬砖,挖坑,潜水
【IC求职交流】人丑就要早睡早起多读书
【IC求职交流】技术的有效期
【IC求职交流】职业规划,计划赶不上变化?
【IC求职交流】性格测试,看看面相?
【IC求职交流】做芯片能赚多少钱?
【IC求职交流】面试题汇总01
芯片1999大战拉斯维加斯
芯片简史,造芯往事
看看工程师们都聊啥?看看猎头们都聊啥!
【一起学Verilog】没啥写的了,去读代码吧
【一起学Verilog】109e203_soc_top
【故事14】你有时间捡史吗?
【一起学Verilog】108代码风格
【一起学Verilog】107Verilog的参数化设计
【一起学Verilog】106使用assign描述组合逻辑
【一起学Verilog】105怎样写一个DFF模块
【一起学Verilog】104东一榔头,西一棒槌
【一起学Verilog】103搭建Linux开发环境
【一起学Verilog】102从fork开始
【一起学Verilog】101资料很多,从何入手
【一起学Verilog】TalkIsChip
【一起学Verilog】099回顾与展望
【一起学Veirlog】098APBI2C
【一起学Verilog】097Verilog相关工具
【一起学Verilog】096DMA控制器
【一起学Verilog】095AXISRAM
【一起学Verilog】094AHBSRAM
【一起学Verilog】093APB-SRAM
【一起学Verilog】092排序
【一起学Verilog】091MaxPooling
【一起学Verilog】090Sigmoid
【一起学Verilog】089ReLU
【一起学Verilog】088多路乘加(MAC)
【一起学Verilog】087图像二维滤波器
【一起学Verilog】086imagelinebuffer
【一起学Verilog】085RoundRobinArbiter
【一起学Verilog】084正弦波发生器
【一起学Verilog】083log2(x)
【一起学Verilog】082平方根
【一起学Verilog】081除法器
【一起学Verilog】080CAM
【一起学Verilog】079barrelshifter
【一起学Verilog】078超前进位加法器
【一起学Verilog】077Booth乘法器
【一起学Verilog】076半精度浮点数乘法
【一起学Verilog】075浮点数加法
【一起学Verilog】074双精度浮点数
【一起学Verilog】073单精度浮点数
【一起学Verilog】072复数乘法器
【一起学Verilog】071multicyclepath
【一起学Verilog】070setuphold
【一起学Verilog】069寄存器配置接口
【一起学Verilog】068握手协议的时序问题
【一起学Verilog】067握手协议的气泡
【一起学Verilog】066N-to-1握手协议
【一起学Verilog】0651-to-N握手协议
【一起学Verilog】064上采样握手协议
【一起学Verilog】063下采样握手协议
【一起学Verilog】062握手协议
【一起学Verilog】061FIFO深度计算
【一起学Verilog】060格雷码设计
【一起学Verilog】059异步FIFO
【一起学Verilog】058同步FIFO
【一起学Verilog】057单脉冲跨时钟域传递
【一起学Verilog】056PWM
【一起学Verilog】055按键防抖
【一起学Verilog】054环形计数器
【一起学Verilog】053Johnson计数器
【一起学Verilog】052resetsynchronizerwscan
【一起学Verilog】051resetsynchronizer
【故事13】面试官是你无法选择的
【一起学Verilog】050亚稳态
【一起学Verilog】049动态时钟切换
【一起学Verilog】048静态时钟切换
【一起学Verilog】047clockgatingcell
【一起学Verilog】046三分频时钟
【一起学Verilog】045二分频时钟
【一起学Veirlog】044一阶IIR
【一起学Verilog】043累加滤波器
【一起学Verilog】042FIR的延迟
【一起学Verilog】041参数化FIR
【一起学Verilog】040抽头数可配置的FIR
【一起学Verilog】0383-tapFIR
【一起学Verilog】0393-tapFIRwbypass
【一起学Verilog】037同步DPRAM
【一起学Verilog】036异步PDPRAM
【一起学Verilog】035Pseudodual-portRAM
【一起学Verilog】034单端口SRAM
【一起学Verilog】033SRAMbitcell
【一起学Verilog】032ROM
【一起学Verilog】031存储器
【一起学Verilog】030乘法器时分复用
【一起学Verilog】029101序列检测器
【一起学Verilog】028串并变换
【一起学Verilog】027计数器
【一起学Verilog】026边沿检测
【一起学Verilog】025latch的建立与保持时间
【一起学Verilog】024DFF的建立与保持时间
【一起学Verilog】023DFF与Latch
【一起学Verilog】022D触发器
【一起学Verilog】021三个数的最大值
【一起学Verilog】020统计1的个数
【一起学Verilog】019乘法
【一起学Verilog】018取整函数
【一起学Verilog】017z=abs(x-y)
【一起学Verilog】016参数化多路复用器
【一起学Verilog】015奇偶校验
【一起学Verilog】014BCD码
【一起学Verilog】013二四译码器
【一起学Verilog】012一位全加器
【一起学Verilog】011竞争和冒险
【一起学Verilog】010比较器
【一起学Verilog】009与非门与或非门哪个快
【一起学Verilog】008MUX
【模型07】复利公式
【一起学Verilog】007开漏输出
【一起学Verilog】006三态缓冲器
【一起学Verilog】005进制与编码
【一起学Verilog】004原码、反码、补码
【一起学Verilog】003逻辑电平
【一起学Verilog】002转换时间与传播延迟
【一起学Verilog】001CMOS反相器
Verilog没有葵花宝典【活动总结】
【工具04】马克一下:Markdown
勿在浮沙筑高台
老板派我来巡山,顺便吃顿自助餐
一个IC工程师的自白:不能996,不想669
Verilog没有葵花宝典-第二周
【求职交流03】数字设计工程师的出路
【求职交流02】推荐几篇“数字设计工程师”的文章
【求职交流01】数字设计工程师
IC工程师永远年轻
关于IC文档的8条心得
推荐一些IC相关【小】工具
推荐一些Github上的IC资源
推荐几个IC相关网站
推荐四本IC书籍
推荐几个IC公众号
Verilog葵花宝典
【故事12】先填表,再做题
【故事11】招聘一个IC工程师
文本消息_1555119242
【搞个活动】一起学Verilog,21天一个聊程
一个IC工程师,做啥公众号?【2.0版】
【工具03】如何阅读Verilog代码?
【工具02】Verilog中使用宏定义的注意事项
【工具01】提高Verilog编程效率的一些技巧
IC闲人随便聊(007)
【模型06】量化
【NVDLA学习笔记:008】基于开源项目学习Verilog
【模型05】DIKWS
【模型04】如何成为EVP?
【模型03】生产者,消费者
【模型02】一式三招,都是抄的
【模型01】IC工程师赚钱攻略
文本消息_1549293060
【故事10】我们不一样
【故事09】扯淡你不行;做芯片我不行
【故事08】IC工程师的职业病
【故事07】都说做芯片,其实说的不是一回事
【故事06】做芯片,谁不是九死一生?
【故事05】忙完这个项目,就换工作?
【故事04】IC工程师挖坑指南
【故事03】挖坑
【故事02】甲方,乙方
【故事01】做芯片,不要瞎折腾
【不忘出芯】2019目标清单
【不忘出芯】2018工作总结
文本消息_1545534455
一个数字IP的交付流程
一个IC工程师,如何找到一份AI相关的工作?
一个IC工程师,为啥要学AI?
一个IC工程师的一天
一个IC工程师,为啥要加班?
月薪过万的IC工程师,早餐吃啥?
IC工程师的工具箱:make
【不忘出芯】IC自媒体访谈合集
【NVDLA学习笔记:007】参考资料汇总
【不忘出芯】灌水文章合集
【不忘出芯】Verilog文章合集
【NVDLA学习笔记:006】FPGA移植
【NVDLA学习笔记:005】代码综合
【NVDLA学习笔记:004】运行一个仿真case
【不忘出芯】订阅号声明
【NVDLA学习笔记:003】EnvironmentSetup
【NVDLA学习笔记:002】TreeConfigMake
【NVDLA学习笔记:001】获取源码
IC工程师的工具箱:Git
1024,你懂的
【每日一题】一起学Verilog
【IC求职交流】这些问题你怎么看
卖房,炒股,辞职,创业,读书,学习,放下,生死
IC工程师的工具箱:Bash
IC工程师的通用技能:调试与排错
IC工程师的通用技能:时间管理
IC工程师的通用技能:信息搜集
IC工程师的通用技能:文本处理
IC工程师的日常:时间都去哪儿了?
IC工程师的通用技能:时钟与周期
IC工程师的通用技能:setup与hold
换位思考:IC猎头的难处
IC工程师面试心得:有话好好说
IC工程师推荐:提高工作效率的几个小技巧
求职招聘,信息渠道很重要
道听途说:硅农求职的潜规则
聊聊职业规划:硅农转行,猎头上岸
路边社论:IC猎头的江湖
硅农之友?聊聊IC工程师眼中的猎头
TensorFlow学习笔记:环境搭建
芯片开发的七个阶段:BPisPPT
IC闲人随便聊(006)
今年七夕情人节,100个IC工程师,约在一起聊了点啥?
某28nm量产芯片的功耗数据分析:多核应用处理器(AP)
聊聊DDR的功耗
IC闲人随便聊(005)
芯片系统级功耗数据分析:以一颗视频编码芯片为例
【震惊了】你参与的微信群投票,已经暴露了你的身份!细思极恐!!请马上转发,告诉身边的朋友!!!
IC闲人随便聊(004)
IC闲人随便聊(003)
【低功耗讨论群】资料分享
【IC工程师活动召集】免费微信群,一起学习“低功耗”
IC工程师说职场:学生的迷茫,菜鸟的慌张,老手的彷徨,财富自由在何方?
经典SoC设计流程
四大MM:IC前端工程师的最爱
经典ASIC开发流程
【每周一记】原则与免费
IC自媒体访谈:芯灵动
【每周一记】乌合之众与新媒体
一个IC工程师,玩啥小密圈?
Verilog的生产力工具与环境
Verilog从入门到放弃
Verilog是什么,学了有什么用?
知识星球:免费邀你“一起学verilog”
IC自媒体访谈:西电通院专用集成电路课程学习
IC自媒体访谈:Jian的ATE学习手记
IC自媒体访谈:逻辑熊猫带你玩Python
IC自媒体访谈:猴哥验证
IC自媒体访谈:吾爱IC社区
IC自媒体访谈:芯片那点事儿
IC自媒体访谈:数字前端ic芯片设计
IC自媒体访谈:数字IC前端设计基础
IC自媒体访谈:ExASIC
IC自媒体访谈:陌上风骑驴看IC
IC自媒体访谈:未来妄想家
又是一年毕业时:参观上科大2018毕业典礼
IC自媒体访谈:开篇
确认过眼神,都是社会人
IC闲人随便聊(002)
IC闲人随便聊(001)
Verilog玩具:I2C模块
一个IC工程师,看啥故事会
Verilog开源项目
一个IC工程师,学啥断舍离?
一个IC工程师,混啥微信群?
关于FIFO的一些问题
如何做好芯片复位?看看100个硅农的聊天记录…
Tcl三十年:默默无闻又无处不在
如何做好芯片复位(Reset)?
一个IC工程师,做啥公众号
Verilog错误大全(3)系统集成
Verilog错误大全(2)-sdf反标出错
Verilog常见错误(1)-仿真编译错误
Verilog极简教程

不知道

好好学习,天天向上
【MISC杂记】你好,2020
【学习笔记】一些软件开发工具的使用
【MISC杂记】不惑
【硅农的自我修养】A,B,C,D
【学习笔记】把SoC装进FPGA,拢共分几步?
【硅农的自我修养】如何做好芯片产品定义?
【硅农的自我修养】TMD,吃泡面,爽翻天
【硅农的自我修养】做片子,不做骗子
【MISC杂记】聊职场
【MISC杂记】阅非转
【学习笔记】SOC外设接口(50页PDF免费下载)
不知道
文本消息_1490919568
IDON’TKNOW

两猿社

UART项目详解-08仿真框架
最新版Web服务器项目详解-13踩坑和面试题
UART项目详解-06数据发送
UART项目详解-07系统配置
最新版Web服务器项目详解-12注册登录
最新版Web服务器项目详解-12注册登录(上)
最新版Web服务器项目详解-11数据库连接池
UART项目详解-05数据接收
最新版Web服务器项目详解-10日志系统(下)
最新版Web服务器项目详解-09日志系统(上)
最新版Web服务器项目详解-08定时器处理非活动连接(下)
UART项目详解-04波特率设计
最新版Web服务器项目详解-07定时器处理非活动连接(上)
最新版Web服务器项目详解-00项目概述
服务器项目详解重制公告
最新版Web服务器项目详解-01线程同步机制封装类
最新版Web服务器项目详解-02半同步半反应堆线程池(上)
最新版Web服务器项目详解-03半同步半反应堆线程池(下)
最新版Web服务器项目详解-04http连接处理(上)
最新版Web服务器项目详解-05http连接处理(中)
最新版Web服务器项目详解-06http连接处理(下)
Web服务器项目详解-07定时器处理非活动连接(上)
UART项目详解-03FIFO设计(下)
服务器项目更新公告
Web服务器项目详解-06http连接处理(下)(文末有大文件请求Demo)
PCIExpress的Posted与Non-Posted传输
Web服务器项目详解-05http连接处理(中)
UART项目详解-02FIFO设计(上)
2020华为软挑热身赛代码开源-思路大起底
Web服务器项目详解-04http连接处理(上)
FPGA原型验证-时序收敛
2020华为软挑热身赛-这些坑我帮你踩过了
Web服务器项目详解-03半同步半反应堆线程池(下)
IC前端怎么面?赶紧拿个小本本记下来!附2020最全IC校招薪资!
Web服务器项目详解-02半同步半反应堆线程池(上)
UART项目详解-01异步时钟的同步处理
最强加密算法?AES加密详解!
UART项目详解-00项目概述
校招必看硬核干货:IC前端这样学,秒变offer收割机!
Web服务器项目详解-01线程同步机制包装类
一次压力测试Bug排查-epoll使用避坑指南
Web服务器项目详解-00项目概述
O准备如何苟进复赛圈?华为软挑开挂指南(附赛题预测)
校招必看硬核干货:C++怎么学才能进大厂

小鱼学IC

代码覆盖率
Questasim和Verdi的联合仿真教程
高层次综合(HLS)入门篇-MatrixMullab1
高层次综合(HLS)入门篇-MatrixMullab2
高层次综合(HLS)入门篇-DCT的设计与优化
高层次综合(HLS)入门篇-DCT算法原理与C实现
高层次综合(HLS)入门篇-自定义精度数据类型
一起开启数字IC之旅,您来瞧瞧
高层次综合(HLS)入门篇-Pipeline与总线接口
高层次综合(HLS)入门篇-端口带宽优化
高层次综合(HLS)入门篇-IOprotocol
高层次综合(HLS)入门篇-BlockLevelIO
高层次综合理论篇-介绍
高层次综合理论篇-FPGA资源介绍
高层次综合理论篇-Pipeline与Dataflow
高层次综合(HLS)入门系列-BlockLevelIO
高层次综合(HLS)入门篇-设计优化1
高层次综合(HLS)入门篇-CValidation
高级综合(HLS)入门篇-lab1
高级综合(HLS)入门篇-lab2
HDL练习网站推荐-双边沿采样电路
matlab工具生成可编程FIR滤波器的HDL代码
比特对编码与比特对编码乘法器的设计
轻松弄懂Booth乘法器原理与并改进
2补方式数的表示与加减乘设计要点
彻底弄懂乒乓操作与并行化
多时钟时序约束
采用基本逻辑门与寄存器实现占空比%50的3分频
带你换个方式学习Verilog描述-第一篇
带你换个方式学习Verilog描述-第二篇
一起回答几个CDC的问题–第四篇
时序违例的优化方法概述
文本消息_1571488358
初识FPGA如何理解时序电路
一起回答几个CDC的问题–第三篇
状态机的第四种写法
一起回答几个CDC的问题–第二篇
一起回答几个CDC的问题–第一篇
占空比为50%的奇数分频器
一个很好的个人数字IC学习经验分享博客
使用Modelsim跑蜂鸟开源RISC-V项目
XilinxIP的使用-FFTIP篇
利用XILINX官方资料学习HLS
SPI接口驱动电路设计
UART(串口)收发模块设计-02
UART(串口)收发模块设计-01
流水线设计
换个方式理解状态机
VivadoFPGA设计基础操作流程
驱动数码管显示520
分频器的设计-奇偶分频
初识FPGAXilinxFPGA的片上存储资源
静态时序分析基础
VerilogHDL入门思路梳理
利用Vivado学习Verilog之UG901
初识FPGACLB之LUT实现RAM
初识FPGACLB之LUT实现逻辑函数
推荐一个ASIC知识分享公众号
认识一下公众号:蓝海微创新
初识FPGACLB之总览
跟我学IC验证系列之UVMphase机制
UVMfactory(二)
基本的同步时序路径约束
FPGA设计与Vivado的使用流程
使用vivado也能学习Verilog设计,你造吗
常见的Verilog行为级描述语法
文本消息_1536723152
UVMfactory(一)
时序逻辑电路的建立,保持时间裕量分析
组合逻辑概述与Verilog三种描述形式
寄存器以及建立保持时间,传输延迟的介绍
CMOS级逻辑电路实现综述
初识Verilog描述-1
零基础Verilog数字电路设计进阶之路
非门(反相器)介绍
与逻辑介绍
或逻辑介绍
Matlab定点化fixedpointdesigner)-入门1
异步FIFO设计
基于双端口RAM实现Linebuffer设计
512点的FFT实现(参数化例化基本单元实现)
Cordic算法实现distance=√(x^2+y^2)
简述Vivado通过ILACore实现板级调试
有符号数乘法器设计要点
测试平台(testbench)编写入门2
QuartusII中Poweranalyzertool的使用(功耗预估)
Cordic算法实现cos,sin
Quartus与modelsim基础使用教程
测试平台(testbench)编写入门1
QUARTUSFPGA板级调试神器SignalTapLogicAnalyzer
状态机的三种写法
基2频率抽取FFT算法的实现-软件篇
张中义,你好
一个实例彻底拿下流水线设计

摸鱼范式

[十九]CrackingDigitalVLSIVerificationInterview
秋招记录-乐鑫提前批
用SV写一个蒙哥马利模乘的参考模型
《数字集成电路静态时序分析基础》笔记
深入AXI4总线-[五]AXI4的兄弟协议
2021乐鑫科技校招芯片岗提前批真题解析(修正版)
深入AXI4总线-[四]传输事务属性(draft)
秋招记录-VIVO提前批
深入AXI4总线-[三]传输事务结构
深入AXI4总线-[一]握手机制
深入AXI4总线-[二]架构
微信群不方便拉人,而且文件功能也不好,新同学请直接扫码进QQ群,微信群不再添加新人
[十八]CrackingDigitalVLSIVerificationInterview
2020年暑期实习面经
《数字集成电路静态时序分析基础》笔记⑩
《数字集成电路静态时序分析基础》笔记⑨
《数字集成电路静态时序分析基础》笔记⑧
[十七]CrackingDigitalVLSIVerificationInterview
《数字集成电路静态时序分析基础》笔记⑦
《数字集成电路静态时序分析基础》笔记⑥
《数字集成电路静态时序分析基础》笔记④
《数字集成电路静态时序分析基础》笔记⑤
IC入职新同学必备技能手册-Perl(2)-正则表达式+文本操作
IC入职新同学必备技能手册-ShellTclPerl(预告)
IC入职新同学必备技能手册-Perl(1)
《数字集成电路静态时序分析基础》笔记②
《数字集成电路静态时序分析基础》笔记③
蒙哥马利算法
《数字集成电路静态时序分析基础》笔记①
[十六]CrackingDigitalVLSIVerificationInterview
2020-05-23-公众号资料归档分享
[十五]CrackingDigitalVLSIVerificationInterview
摸不着的EDA如何卡住了脖子
哭了
“Python才是世界上最好的语言”
备战秋招-面经篇-[四]
备战秋招-手撕代码篇[一]
备战秋招-指导篇
备战秋招[六]-FIFO深度计算
备战秋招[五]-下
备战秋招-面经篇-[三]
备战秋招[五]-上
备战秋招-面经篇-[二]
备战秋招[四]
备战秋招-面经篇-[一]
备战秋招[三]
备战秋招[二]
备战秋招[一]
[十四]CrackingDigitalVLSIVerificationInterview
[十三]CrackingDigitalVLSIVerificationInterview
UVM实战[三]
来自路科的小问答
来自路科的小问答,如果对路科验证的课程感兴趣可以在后台联系我,报名有返现
[十二]CrackingDigitalVLSIVerificationInterview
[十一]CrackingDigitalVLSIVerificationInterview
[十]CrackingDigitalVLSIVerificationInterview
[九]CrackingDigitalVLSIVerificationInterview
[八]CrackingDigitalVLSIVerificationInterview
[七]CrackingDigitalVLSIVerificationInterview
UVM实战[二]
[六]CrackingDigitalVLSIVerificationInterview
UVM实战[一]
TCL简单教程
[五]CrackingDigitalVLSIVerificationInterview
[四]CrackingDigitalVLSIVerificationInterview
[三]CrackingDigitalVLSIVerificationInterview
[二]CrackingDigitalVLSIVerificationInterview
[一]CrackingDigitalVLSIVerificationInterview
工欲善其事,必先利其器verilog编辑器搭建

故事v历史

Rocket-subsystem-BaseSubsystem
Rocket-subsystem-Ports
Rocket-subsystem-HasTiles
Rocket-subsystem-RocketSubsystem
Rocket-subsystem-PeripheryBus
Rocket-subsystem-RTC
Rocket-subsystem-Configs
Rocket-subsystem-FrontBus
Rocket-subsystem-InterruptBus
Rocket-subsystem-MemoryBus
Rocket-subsystem-CrossingWrapper
Rocket-tilelink-TLBusWrapper.to
Rocket-diplomacy-LazyModule的组织方式
Rocket-devices-bootrom
Rocket-devices-PLIC
Rocket-devices-CLINT
Rocket-devices-CanHaveBuiltInDevices
Rocket-devices-TLBusBypass
Rocket-devices-BasicBusBlocker
Rocket-devices-DevNullDevice
Rocket-devices-TLDeadlock
Rocket-devices-TLZero
Rocket-devices-TLError
Rocket-devices-BootROM
Rocket-debug-DebugTransport
Rocket-debug-Periphery
Rocket-debug-TLDebugModuleOuterAsync
Rocket-debug-TLDebugModuleInnerAsync
Rocket-debug-TLDebugModule
Rocket-debug-TLDebugModuleInner-DriveCustomAccess
Rocket-debug-TLDebugModuleInner-HartBusAccess
Rocket-debug-TLDebugModuleInner-AbstractCommandSM
Rocket-debug-TLDebugModuleInner-DMIRegisterC&S
Rocket-debug-TLDebugModuleInner-ProgramBufferAccess
Rocket-debug-TLDebugModuleInner-ROMGeneration
Rocket-debug-TLDebugModuleInner-AbstractCommandD&G
Rocket-debug-TLDebugModuleInner-ABSTRACTCS
Rocket-debug-TLDebugModuleInner-ABSTRACTAUTO
Rocket-debug-TLDebugModuleInner-COMMAND
Rocket-debug-TLDebugModuleInner-AbstractData
Rocket-debug-TLDebugModuleInner-ProgramBuffer
Rocket-debug-TLDebugModuleInner
Rocket-debug-TLDebugModuleInner-innerCtrl
Rocket-debug-TLDebugModuleInner-DMSTATUS
Rocket-debug-TLDebugModuleInner-HARTINFO
Rocket-debug-TLDebugModuleInner-HALTSUM
Rocket-debug-TLDebugModuleOuter
Rocket-debug-DMI
Rocket-debug-SBA
Rocket-debug-DebugCustomXbar再讨论
Rocket-debug-DebugCustomXbar
Rocket-debug-Custom
Rocket-debug-dmregisters
Rocket-debug-ExampleDMI
Rocket-debug-ExampleSelectingHarts
Rocket-debug-ExampleQuickAccess
Rocket-debug-ExampleTriggers
Rocket-debug-ExampleWriteMemory
Rocket-debug-ExampleReadMemory
Rocket-debug-AccessingRegistersUsingProgramBuffer
Rocket-debug-AccessingRegistersUsingAbstractCommand
Rocket-diplomacy-misaligned
Rocket-jtag-JtagShifter
Rocket-jtag-JtagStateMachine
Rocket-jtag-JtagTap
Rocket-interrupts-Parameters
Rocket-interrupts-Nodes
Rocket-interrupts-Crossing
Rocket-interrupts-NullIntSource
Rocket-interrupts-Xbar
Rocket-regmapper-RegMapper
Rocket-regmapper-RegisterCrossing
Rocket-regmapper-RegField
Rocket-tilelink-RegisterRouter
Rocket-tilelink-WidthWidget
Rocket-tilelink-Xbar
Rocket-tilelink-BusWrapper
Rocket-tilelink-SRAM
Rocket-tilelink-RegionReplicator
Rocket-tilelink-SourceShrinker
Rocket-tilelink-RAMModel
Rocket-tilelink-ProbePicker
Rocket-tilelink-Metadata
Rocket-tilelink-Monitor
Rocket-tilelink-HintHandler
Rocket-tilelink-Fuzzer
Rocket-tilelink-Fragmenter
Rocket-tilelink-Filter
Rocket-tilelink-FIFOFixer
Rocket-tilelink-ErrorEvaluator
Rocket-tilelink-CrossingHelper
Rocket-tilelink-Delayer
Rocket-tilelink-CacheCork
Rocket-tilelink-Broadcast
Rocket-tilelink-BankBinder
Rocket-tilelink-AtomicAutomata之二
Rocket-tilelink-Atomics
Rocket-tilelink-AtomicAutomata
Rocket-tilelink-toBools
Rocket-tilelink-Bitsvs.UInt
Rocket-tilelink-fastProperty
Rocket-tilelink-TLArbiter
Rocket-tilelink-first
Rocket-tilelink-AsyncCrossing
Rocket-tilelink-mask
Rocket-tilelink-Nodes
Rocket-tilelink-Edges
Rocket-tilelink-Parameters
Rocket-tilelink-Bundles
Rocket-util-PrefixSum
Rocket-util-ReduceOthers
Rocket-util-Repeater
Rocket-util-Replacement
Rocket-util-Timer
Rocket-util-MultiWidthFifo
Rocket-util-Misc
Rocket-util-MaskGen
Rocket-util-IDPool
Rocket-util-LanePositionedQueue
Rocket-util-Frequency
Rocket-util-GenericParameterizedBundle
Rocket-util-HeterogeneousBag
Rocket-util-ECC
Rocket-util-Counters
Rocket-util-Broadcaster
Rocket-util-AsyncQueue
Rocket-util-Annotations
Rocket-diplomacy-AddressAdjuster分析
Rocket-diplomacy-AddressAdjuster
Rocket-diplomacy-AddressSet
Rocket-diplomacy-AddressDecoder
Rocket-diplomacy-TransferSizes
Rocket-diplomacy-enumerateBits
Rocket-diplomacy-enumerateMask
Rocket-diplomacy-IdRange
Rocket-diplomacy-LazyModule实例:Buffer
Rocket-diplomacy-LazyModule的实例化(补)
Rocket-diplomacy-LazyModule
Rocket-diplomacy-LazyModuleImpLike
Rocket-diplomacy-LazyModule的实例化
Rocket-diplomacy-resolveStar
Rocket-diplomacy-MixedNode
Rocket-diplomacy-BaseNode
Rocket-diplomacy-wirePrefix
Rocket-diplomacy-ValName
Rocket-diplomacy-NodeHandle相关类
Rocket-diplomacy-Node相关类
Rocket-diplomacy-DUEB参数模型分析
Rocket-diplomacy-DUEB参数模型的设计
Rocket-diplomacy-NodeImp
Rocket-diplomacy-模块结构信息
Scala-语言专家-DesugarScalacode
Rocket-断句-DiplomacyandTileLinkfromtheRocketChip
Rocket-断句-DiplomaticDesignPatternsATileLinkCaseStudy
Rocket-spec-RISC-V规范整理
Rocket-config-Keys&Params
Rocket-config-implicitParameters
Rocket-config-Configs
Rocket-config-Parameters
Rocket-config-DefaultConfig
Rocket-config-View
Rocket-decode-几个问题
Rocket-decode-Term
Rocket-decode-Simplify
Rocket-decode-SimplifyDC
Rocket-decode-最小项与最大项
Rocket-decode-解码单个信号
Rocket-decode-InstDecode
Chisel3-Scala语言专家
hdl-软件编程与硬件建模
hdl-HLSvs.Generator
Chisel3-Chiselvs.Scala
Chisel3-model-IOports
Chisel3-model-子模块,顶层模块
Chisel3-model-Builder
Chisel3-model-DefWire,Reg,Memory,Prim
Chisel3-model-when
Chisel3-model-connect
Chisel3-model-HardwareModel
Chisel3-model-UserModulecommands
Chisel3-bind-PortBinding
Chisel3-bind-Wire,Reg,MemPort
Chisel3-bind-Op,ReadOnly,左值
Chisel3-bind-Binding
Chisel3-bind-Data
Chisel3-util-MixedVec
Chisel3-util-Mux
Chisel3-util-OneHot
Chisel3-util-Mathvs.CircuitMath
Chisel3-util-Lookup
Chisel3-util-LFSR16
Chisel3-util-BitPat
Chisel3-util-Bitwise
Chisel3-util-LockingArbiter
Chisel3-util-RRArbiter
Chisel3-util-Arbiter
Chisel3-util-ReadyValid
Chisel3-util-Queue
Chisel3-util-Valid
Chisel3-util-Pipe
Chisel3-Tutorial-VendingMachine
Chisel3-Tutorial-VendingMachineSwitch
Chisel3-Tutorial-Adder4
Chisel3-Tutorial-Adder
Chisel3-Tutorial-ByteSelector
Chisel3-Tutorial-ShiftRegister
Chisel3-Tutorial-Parity
Chisel3-Tutorial-Functionality
Chisel3-Tutorial-Stack
Chisel3-Tutorial-Tbl
Chisel3-Tutorial-FullAdder
Chisel3-运算符和位宽推断
Chisel3-模块
Chisel3-Wire&Reg
Chisel3-接口方向(Direction)
Chisel3-复合数据类型
Chisel3-基本数据类型
Chisel3-字面量(literal)
Chisel3-使用chisel-iotesters进行验证
Chisel3-IntellijIDEA中使用sbt构建Chisel项目
Chisel3-参考资料汇总
jchdl-RTL实例-MOS6502SoC
jchdl-RTL实例-MOS6502CPU
jchdl-RTL实例-MOS6502Mem
jchdl-RTL实例-MOS6502ALU
jchdl-RTL实例-MOS6502ALU(Verilog)
jchdl-RTL实例-And2(结构体的使用)
jchdl-RTL实例-And2And(结构体嵌套的使用)
jchdl-RTL实例-Mux
jchdl-RTL实例-Counter4
jchdl-RTL实例-Adder
jchdl-RTL实例-Adder4Carry
jchdl-RTL实例-AndAnd
jchdl-RTL实例-AndReg
jchdl-RTL实例-And
jchdl-GSL实例-DLatch(D锁存器)
jchdl-GSL实例-DFlipFlop(D触发器)
jchdl-GSL实例-Register
jchdl-GSL实例-Shifter
jchdl-GSL实例-Counter
jchdl-GSL实例-Mul(无符号数的乘法)
jchdl-GSL实例-MulC2(有符号数的乘法)
jchdl-GSL实例-Div
jchdl-GSL实例-LogicalLeft
jchdl-GSL实例-Assign
jchdl-GSL实例-Concat
Verilog-ABS代码重构
使用RTL进行硬件模型编程的局限性
非阻塞赋值(Non-blockingAssignment)是个伪需求(2)
jchdl-GSL实例-Sub(二的补码实现)
jchdl-GSL实例-ComplementOne(一的补码)
jchdl-GSL实例-ComplementTwo(二的补码)
jchdl-GSL实例-Add
jchdl-GSL实例-Mux4
jchdl-GSL实例-Mux4(使用Mux)
jchdl-GSL实例-Mux4(使用WireVec简化输入线声明)
jchdl-GSL实例:HalfAdder
jchdl-GSL实例:FullAdder
jchdl-GSL实例:FullAdder(使用HalfAdder实现)
jchdl-GSL-实例-使用IntellijIDEA创建Mux
非阻塞赋值(Non-blockingAssignment)是个伪需求
Verilog缺少一个复合数据类型,如C语言中的结构体
硬件建模-几个观点
jchdl-RTL
jchdl-RTLDataTypes
jchdl-RTLEvent
jchdl-RTLBlock
jchdl-RTLModule
jchdl-RTLValuePropagation
jchdl-GSLPort
jchdl-GSLWire
jchdl-GSLNode
jchdl-GSL值的传播
数字电路建模-jchdl
jchdl-门和开关层(GSL)
jchdl-初次使用建立项目示例
拨开云雾-Verilog是个大杂烩(中性)
HDL-数字电路建模的要点
Chisel3-创建工程并转换为Verilog代码
概念辨析-DescriptionLanguage还是DescriptionLibrary?
概念辨析-HardwareDescription还是HardwareDeveloping
Chisel3-IntellijIDEA安装Scala插件
开心一下-实现一个基于Java的中文编程语言2
AUTOSAR-文档中所使用的UML文件
开心一下-实现基于Java一个中文编程语言
FreeRTOS-为什么关中断之后切换进程?
PowerPC-关闭中断后,还能报sc中断?
编译-可执行格式,谁执行?
IC-二进制,自然数,有符号数
编译过程简介
编译-实践中的编译过程
编译-印象中的编译原理
PowerPC-LinkCommandFile解析
PowerPC-object与elf中的符号引用
编程-函数栈与进程栈
PowerPC-MPC56xxFlash模式代码启动过程
CPU-如何开始在新的CPU上编程
Web-从JavaRequest对象到HTTP协议
PowerPC-MPC56xxFlash模式启动过程
PowerPC-MPC56xx启动模式
磨刀-CodeWarrior11生成的Makefile解析
编程-Byteorder&Bitorder
Java-接口的本质
Java-接口概念辨析
AUTOSAR-软件规范文档中的UML
AUTOSAR-软件规范文档阅读
AUTOSAR-标准文档索引
ArcCore重构-打通Can各层ID配置(补)
ArcCore重构-打通Can各层ID配置
AUTOSAR-PDU&SDU
AUTOSAR分层-MCAL辨析
AUTOSAR-关于配置文件的思考
ArcCore重构-Platform_Types.h实现辨析
ArcCore重构-目标文件结构化
ArcCore重构-生成%_offset.h文件
ArcCore重构-头文件引用问题的初步解决
ArcCore重构-Makefile模块化
ArcticCore重构-问题列表1
ArcticCore重构-VALIDATE_%
AUTOSAR-标准文档下载
AUTOSAR的前期开源实现ArcticCore
ArcticCore重构-for_each_HOH
Hiiiistory

数字ICer

数字IC秋招求职面经
华为SP,百度提前批,10个offer面经
Java后台面经,光看看就香得不行QAQ
研究所汇总听说你还不知道中国有多少研究所?
DDR3接口分析
一道简单的笔试题_低功耗设计
ZYNQPS和PL接口技术
一道简单的笔试题_亚稳态
一道简单的笔试题_格雷码转换
DDR3读写数据
SystemVerilog函数和任务
【求职攻略】篇篇精选,让你offer拿不停!
2021乐鑫数字IC提前批笔试题
2021vivo数字IC提前批笔试题
SystemVerilog线程控制与通信
SystemVerilog覆盖率
SystemVerilog数组类型
SystemVerilog数组操作
SystemVerilog类与继承
【笔试解析】2020华为海思校招芯片岗笔试
这几个年轻人,把人类带入芯片时代
【笔试解析】2021年校招提前批(vivo芯片设计岗)
工具篇百度网盘不限速,一次性安排5款下载神器!
工具篇完全免费视频音频去水印下载神器
10G以太网接口的FPGA实现,你需要的都在这里了
cordic的FPGA实现(一)简介与算法推导
cordic的FPGA实现(二)向量模式与伪旋转处理
cordic的FPGA实现(三)sin、cos函数运算与源码分析
cordic的FPGA实现(四)乘法器实现
cordic的FPGA实现(五)除法实现
知识星球
异步FIFO的设计
某男子昨晚送女友礼物,竟然感动哭了!
FIFO解析攻略
FPGA设计三大法则
华为回应美国升级限制
华为2021届博士招聘(西北区域)启动
【菁英帖】华为2021届校园菁英计划(西北区域)邀请您加入!
自定义、枚举、结构体类型
SAR成像之低斜视下RD算法
中兴2021西北地区提前批开招了!
两态数据类型
vivo2021校招提前批全面启动,一场秋招大战即将打响!【附内推码】
毛刺的产生与消除
脉冲压缩处理
DDR3IP核仿真
DDR3IP核配置
单脉冲测角处理
雷达LFM信号分析
【视频剪辑】带你看豪车
【视频剪辑】手持运镜
竞争冒险现象及消除方法
“一网打尽”二进制、格雷码、独热码编码方式
FPGA芯片结构
FPGAFIFO深度计算
FPGA奇数分频
有了这份面经,麻麻再也不用担心我找不到工作了!
【夜读】三十岁前,一定要坚持的几件事
硬件工程师笔试题【2】
【思考】数字IC设计工程师的发展前景如何
跨时钟域处理3大方法
硬件工程师面试题【1】
中国芯片设计企业与薪资榜单
数字IC设计经典笔试题之【FPGA基础】
数字IC设计经典笔试题之【verilog篇】
FPGA信号截位策略
数字IC设计经典笔试题之【IC设计基础】
雷达信号处理基础之【相参积累处理】
雷达信号处理基础之【恒虚警处理】
FPGA中的亚稳态
“但愿你已经睡着了,一觉醒来是飒爽的早晨,而不是四下无人的夜。”

数字IC小站

常见的AXI总线仲裁器概述
2021年校招提前批第一枪(vivo芯片设计岗)
跨专业转互联网IC设计-终于上岸!
时钟切换中的glitch
跨时钟域电路设计1–单比特信号传输
SV覆盖率选项中的type_option和option
SystemVerilog中unique与priority
UVM的一个简单验证demo
SystemVerilog的一个简单验证demo
AXI中wrap边界的计算
2020校招系列–D创新硬件芯片开发笔试真题
2020校招系列–M公司数字集成电路面试题
蜂鸟e203,芯来n201处理器在FPGA上移植总结
[systemverilog]reg、wire、var和logic傻傻分不清
DC综合6–环境、设计规则和面积约束(上)
DC综合6–环境、设计规则和面积约束(下)
DC综合5–基本的时序路径约束(上)
DC综合5–基本的时序路径约束(下)
所求皆如愿,所行皆坦途。善良,勇敢,优秀,决不妥协。祝你,也祝我。
[看完就懂]DC综合4–综合库和DC的设计对象概述(上)
[看完就懂]DC综合4–综合库和DC的设计对象概述(下)
[看完就懂]DC综合3–DC启动环境设置概述
[看完就懂]DC综合2–DC综合流程概述
[看完就懂]DC综合1–TCL语法结构概述
SystemVerilog中interface的几点理解
Clifford论文系列–多异步时钟设计的综合及脚本技术(2)
Clifford论文系列–多异步时钟设计的综合及脚本技术(1)
IC验证入门资料
Verilog中generate的使用
SystemVerilog中scheduler(调度)
SystemVerilog中Assertions
文本消息_1577793672
SystemVerilog中从event看fork…join执行顺序
SystemVerilog中Package
SystemVerilog与Verilog中多重定义
SystemVerilog中functioncoverage
SystemVerilog中的callback(回调)
SystemVerilog中$cast方法
SystemVerilog中多态与虚方法
UVM学习–基本概念篇1
再谈systemverilog中automatic与static
systemverilog之program与module
SystemVerilog验证编写(1)
windows下简单搭建Questa的UVM平台
带选通信号的同步FIFO(可综合RTL设计)
带选通信号的同步FIFO(重发)
SystemVerilog之event
systemverilog之Automatic

数字IC自修室

2021华为海思实习校招芯片岗真题解析
2020华为海思校招芯片岗真题解析修正版(1)
2020华为海思校招芯片岗真题解析修正版(2)
2020大疆校招芯片岗真题解析修正版
2020汇顶校招芯片岗真题解析
芯片设计小经验——乒乓buffer
芯片设计小经验——异步电路跨时钟域小结
芯片设计小实例——巧妙的mux“移动”
芯片设计小实例——优先级“转移”
芯片设计小实例——一种数据调度的时序优化
芯片设计小经验——流保序策略
芯片设计小实例——数据“瘦身”
芯片设计小实例——共享Buffer
芯片设计小经验——RTLcodereview
芯片设计小经验——面积缩减策略
芯片设计小经验——如何抢一拍
芯片技能小谈——时序收敛小技巧
芯片性能小谈——时间并行
自修室讨论(1)大疆笔试FIFO深度
大话芯片功耗
浅谈如何选择offer
大话HotChip31研讨会
浅谈存储器之二:memory生成和使用
2020大疆校招芯片岗真题解析
浅谈存储器之一:存储器简介
大话硅谷之硅谷的历史
工程薪酬的定价逻辑
FIFO小谈(2)
FIFO小谈(1)
芯片公司是如何把芯片做出来的?
时序约束实例分析——特殊的feedthroughpath
ASIC面试精选(5)
DC应用——set_cost_priority
北美ASIC经典面试题(4)
北美ASIC经典面试试题(2)
北美ASIC经典面试题(1)
如何写好状态机(1)——基本概念
AI芯片这么多,你更看好哪个?
AI芯片怎么降功耗?从ISSCC2017说起
文本消息_1511971675
文本消息_1511936508

数字积木

【华为】同步电路设计技术及规则(二)
【华为】同步电路设计技术及规则(一)
【华为】可编程ASIC设计方法简介(三)
【华为】可编程ASIC设计方法简介(二)
【华为】可编程ASIC设计方法简介(一)
【华为】verilog语言编写规范(三)
又到求职季!数百万粉丝的公众号群为你精选IC求职秘籍!
【华为】verilog语言编写规范(二)
【华为】verilog语言编写规范(一)
UDP的FPGA实现(上)操作基础与理论分析
UDP的FPGA实现(中)UDP段、IP包、MAC帧结构
8b10b编码技术系列(一):Serdes、CDR、K码
8b10b编码技术系列(二):Disparity、RD、8b10b编码
华为VerilogHDL入门教程(5-10章)
华为VerilogHDL入门教程(4章)
华为VerilogHDL入门教程(3章)
华为VerilogHDL入门教程(1-2章)
华为FPGA设计设计规范
FIFO系列(一):FIFO深度计算
FIFO系列(二):同步FIFO的verilog设计
FIFO系列(三):fifo与格雷码以及异步fifo设计
文本消息_1590057600
HLS与RTL语言使用情况调查
【超实用】一分钟学会如何用最小存储空间保存Vivado工程!
Linux系统下VCS2016和Verdi_2016的安装教程
matlab+vivado设计数字滤波器
verilog的一些技巧,就靠他拿offer了!
大位宽乘法器设计!
文本消息_1589588700
cordic的FPGA实现(四)、乘法器实现
cordic的FPGA实现(五)、除法实现
数字IC交流群!
cordic的FPGA实现(一)简介与算法推导
cordic的FPGA实现(二)向量模式与伪旋转处理
cordic的FPGA实现(三)sin、cos函数运算与源码分析
python战反爬虫:爬取猫眼电影数据(二)
python战反爬虫:爬取猫眼电影数据(一)
电赛专题E题-互联网的信号传输
电赛专题G题-双路语音同传
Matlab入门到放弃(一)、matlab基础知识
Matlab入门到放弃(二)、matlab基础知识
Matlab入门到放弃(三)、matlab基础知识
matlab入门到放弃(四)、绘图基本操作
matlab入门到放弃(五)、绘图基本操作补充
Matlab文本操作
文本消息_1588777500
将博客搬至CSDN
你还不知道的【IC设计基础】知识!
Verilog设计,竟如此简单!
你知道千万门级芯片到底是多大规模?
Verilog语法【三】基础框架
Verilog语法【四】高级知识点
Verilog语法【五】状态机
verilog的一些技巧
verilog中的操作符
Verilog语法【一】简介
Verilog语法【二】基础语法
Zynq中FPGA上电时序
Zynq中PS的MIO操作
Zynq中PS的MIO中断
FPGA设计技巧
XILINXFPGA底层资源
QQ交流群
FPGA大赛【七】具体模块设计–ddr+串口联合测试
FPGA大赛【八】具体模块设计–图像旋转方案
FPGA大赛【九】–总结
FPGA大赛【四】具体模块设计–图像缓存
FPGA大赛【五】具体模块设计–AXI总线数据传输
FPGA大赛【六】具体模块设计–DDR的数传输
FPGA大赛【一】设计概述
FPGA大赛【二】平台介绍
FPGA大赛【三】基础模块介绍
ModelSim使用【四】ModelSim手动仿真
ModelSim使用【五】利用Quartus时序仿真
ModelSim使用【六】modelsim手动时序仿真
ModelSim使用【一】介绍
ModelSim使用【二】联合Quarus自动仿真
ModelSim使用【三】使用技巧
AXI总线简介(一)
AXI总线简介(二)
FPGA系统中的处理器核们(一):全可编程与软硬兼备
FPGA系统中的处理器核们(二):软核,可杀鸡亦可屠龙?
改名了!
简易RISC软核CPU设计
SDRAM随机读写控制器
基于FPGA的图像旋转设计
利用modelsim波形显示字符【附代码】
八一八处理器流水线(上)?
四,EAIDK-310安装浏览器
三,EAIDK-310烧录Ubuntu系统
二,EAIDK-310板载硬件资源介绍
一,EAIDK-310开箱
简单的CPU取指执行电路设计
Modelsim中UVM环境的搭建
数字电路中的有符号数
SDRAM图像缓存设计
串口收发模块设计
EEPROM的详细分析
EDID编码详情
人工智能芯片
数字集成电路之浅见
简单双端口RAM设计(带下载链接)
基于FPGA的直流电机PWM控制系统(附带源码下载)
误码检测单元的功能设计
序列检测器仿真结果
我为什么推荐使用声波式电动牙刷?
去印度买仿制药……
毕业生找工作时一定要知道的常识
一段关于国产芯片和操作系统的往事

数字芯片实验室

谈谈UVM中事务级建模(TLM)的AnalysisPorts和Exports
谈谈UVM中事务级建模(TLM)的uvm_tlm_fifo
支付宝一年新增四亿行代码!直播聊聊他们的支付模块是如何设计开发的?
CadenceorSynopsys?数字芯片实现工具大比拼!
谈谈UVM中事务级建模(TLM)的Port和Export
UVM面试题(1-80)
SystemVerilogDowncast从悬崖跳下去之前确定自己真的会飞
浅谈数字IC验证中的面向对象编程(抽象基类和继承)
上海半导体产业微调查
文本消息_1591632000
公众号菜单索引
中国为什么缺少模拟大师?
数字IC岗位是吃青春饭吗?
SystemVerilog面试题(1-107)
为了讲清楚芯片中晶体管的原理,这个视频真是尽力了
文本消息_1589472000
一颗芯片里藏着一个世界
2020届天坑专业应届硕士(4SCI、50IF)复盘4个月转行互联网IC设计-终于上岸!
分享贝塔的芯片岗实习面经(2020暑期实习)
杂谈:美国年轻人正在逃离电路设计行业
疫情对于IC相关专业学生【学业就业】影响
论STA可以想到的抽SDF相关的一切
数字IC学习交流群和都已经满了,劝退也没啥效果,索性又新建了一个数字IC学习交流群
数字芯片实验室验证系列文章集合&路科验证课程优惠券(250RMB)
VCS门级仿真系列文章之sdf文件和$sdf_annotate
【世界读书日】:推荐一本Verilog书籍,让技术面先胜半招
小孩子才我全都要,大人都知道学不过来~
SK海力士给刚毕业的“芯片”们分配工作~
万字长文,上交微电子博士亲述打怪升级,从看不到希望到万人计划专家
文本消息_1586911397
UVM方法学与设计模式_5:命令模式&UVMSequence
隔离版“Hello”,能不能把朋友快递过来~
揭开UVMconfigure机制的神秘面纱
UVM方法学与设计模式_4:策略模式&UVMrun_test
如何成为一个designcompiler高手?
如何在RTL级别电路建模优化congestion问题?
数字后端面试题集锦
SystemVerilog和UVM到底是啥关系?
如果VerilogHDL是你的对手?
【福利】海思校招数字芯片机考参考资料
静态时序分析及setup&hold时序违例修复
数字IC设计之APB实例解析
超前进位加法器的介绍和思考
Bilibili录制视频合集(大佬请无视~)
行波进位加减法器的硬件开销和性能分析
复杂芯片项目里,人尽其才,各有所为
为什么在driver中使用“=”,在monitor中使用“=”
一道笔试题引发的思考
数字IC验证系列之factory&create
数字IC验证系列之config_db&virtualinterface
数字IC设计入门实例解析
数字IC验证系列之objectionmechanism
类对象赋值(ClassAssignment)和浅复制(ShallowCopy)有什么区别?
数字IC笔试题(13)——大疆2019FPGA校招笔试
数字IC验证系列之层次化TLM连接
数字IC验证系列之uvm_tlm_fifo
数字IC验证系列之基本的TLM通信
一个UVM观察者模式的示例
使用PTPX进行timebased模式功耗分析
基于PTPX的平均功耗分析流程(stepbystep)
RTL和Netilist生成的saif文件有什么不同?
工艺库(功耗模型)和EDA工具(功耗计算)对功耗分析优化的支持
逻辑综合过程中的功耗分析优化流程
逻辑综合过程中的设计划分(DesignPartitioning)
基于Formality的形式验证流程(stepbystep)
看图说话之clockgating
在Architectural和Process方面的低功耗设计技术
低功耗设计之RTL级降低功耗
分享一部小说《花冠病毒》毕淑敏
DesignCompiler是否满足了你的设计需求?
认真check,run脚本不是新手着急的事
使用$test$plusargs优化设计仿真速度
使用VCS进行竞争冒险检查(RaceConditionDetection)
基于VCS的计数器数字芯片功能仿真(stepbystep)
逻辑综合重点解析55题(DesignCompiler篇)
为什么只在时钟树综合之后fixholdviolation?
使芯片变成石头的诸多原因之一
Verilog中的assign语句y会生成latch么
CPU和GPGPU都证明,一阳指干不过六脉神剑
芯片、项目和女朋友
Exceptions,数字后端设计中出现的高频词
文本消息_1577236516
implicitnonstoppins,工具帮你定义的时钟需求
时钟树为什么需要balance
SystemVerilog概念浅析之$cast
TheUVMPrimer阅读笔记_Chapter5_从多态到通用方法库
又是一年考研时,选择工程还是学术?
clockgatingcell应该放在哪儿?
今天做了一个placement
TheUVMPrimer阅读笔记_Chapter4_面向对象编程
TheUVMPrimer阅读笔记_Chapter3_Interfaces&BFM
TheUVMPrimer阅读笔记chapter2_传统验证平台
TheUVMPrimer阅读笔记chapter1_Introduction&DUT
数字芯片实验室Madeachange!!!
SNUG论文巡礼系列二:StateMachineCodingstylesforSynthesis
SystemVerilog中的package如何在设计中使用?
UVM概念浅析之raisedropobjection
UVM概念浅析之topdownphase
SystemVerilog概念浅析之package(import&include)
基于与门的clockgatingtimingcheck
理想和现实之间,那些clocknetworkeffects
门级仿真中的X传播现象
SystemVerilog概念浅析之singletonclass
掌握了这技巧,时序分析题再也不用求人了~
做EDA工具的深度用户之extendproc
与EDA工具对话之Tcl创建proc
ASIC物理实现概念浅析之Milkywaydatabase
SNUG论文巡礼系列一:Design-CompilerGraphical’simprovement
SystemVerilog概念浅析之codecoverage
SystemVerilog概念浅析之Covergroup
SystemVerilog概念浅析之virtualinterface
SystemVerilog概念浅析之virtualmethod
SystemVerilog概念浅析之virtualclass
SystemVerilog概念浅析之FactoryPattern
Systemverilog概念浅析之Callback
为什么ICGCell中使用锁存器(Latch),而不使用触发器(FlipFlop)?
Cell延时从哪里来?非线性延时模型(NLDM)把一切都告诉你
我给大家讲一个有关功耗的bug
STA概念浅析之Propagationdelay、slew、skew和latency
在接口时序约束中为什么设置虚拟时钟(virtualclock)
设计中可能会同时发生setup和hold的violation么?
glitchfree的时钟切换技术
max_transition,综合时需要考虑的逻辑DRC
set_operating_conditions中bc_wc和on_chip_variation的区别?
set_false_path和set_clock_groups有什么区别?
set_false_path和set_disable_timing的区别?
针对Late-Arriving信号的VerilogCodingGuideline
ASIC物理设计流程概述
使用阻塞赋值和非阻塞赋值建模的分频时钟
如何综合(synthesis)ASIC设计?
verilog重点解析(13题)
异步复位网络同步和分配的ASIC解决方案
异步复位网络中同步和分配的挑战和解决方案
AHB协议学习之transfer
AHB协议学习之Databuses
AHB协议学习之Addressdecoding
SystemVerilog教程之Mailboxes
数字后端面试问题集锦&答案(39~57)
AHB协议学习之SlaveResponse
SystemVerilogRandomConstraints
SystemVerilogClassesPart-II
SystemVerilogClassesPart-I
SystemVerilog教程之Task和Functions
基于innovus的全加器数字芯片物理实现(stepbystep)
SystemVerilog教程之DataTypesPart-4
SystemVerilog教程之DataTypesPart-III
SystemVerilog教程之DataTypesPart-II
SystemVerilog教程之DataTypesPart-I
设计一个计算连续LeadingZeros个数的电路
SystemVerilog教程之VerilogBasics-III
SystemVerilog教程之VerilogBasicsPart-II
SystemVerilog教程之VerilogBasicsPart-I
实例解析Verilog综合出锁存器的问题
Python语言学习之logging模块
数字IC笔试题(12)——上海南芯2019秋招笔试预测题
Perl语言学习之正则表达式(regularexpression)
Perl语言学习之文件读写(open)
Perl语言学习之哈希(Hashes)
Perl语言学习之数组(Arrays)
Perl语言学习之标量(Scalar)
SystemVerilog内建two-state数据类型:高仿真性能,低内存消耗
盘点哪些仿真与综合不匹配的RTLCodingStyles(一)
文本消息_1563292800
环形振荡器:数字IC和软件思维的天壤之别
硬件算法优化,做一个不被EDA工具替代的ICDesigner
状态机编码风格(二)之Two-Always状态机
为什么数字前端工程师必须要了解后端?(ICCLab视频分享,B站)
状态机编码风格(一)
多时钟域的设计和综合技巧(四)
数字IC笔试题(11)——卓胜微电子2020(续)
多时钟域的设计和综合技巧(三)
数字IC笔试题(10)——卓胜微电子2020
多时钟域的设计和综合技巧(二)
多时钟域的设计和综合技巧(一)
数字IC岗位中最接近软件开发的验证工程师(VCSLab视频B站分享)
Verilog重点解析(18,什么是strobe?)
Verilog和SystemVerilog中的fork-join有什么不同?
Verilog重点解析(16,“==”和“===”)
数字IC笔试题(9)——汇顶设计验证2019(续)
数字IC笔试题(8)——乐鑫科技数字芯片2020(续)
IC验证系列之SV队列&关联数组
数字后端系列之GlobalPlacement
算法电路定点化实现入门
数字后端系列之宏模块placement
数字IC笔试题(7)——乐鑫科技数字芯片2017
数字IC笔试题(6)——乐鑫科技数字芯片2020
数字IC笔试题(5)——全志科技数字前端
数字后端系列之电源规划
数字后端系列之设计规划
数字后端系列之设计约束
数字后端系列之电路描述
数字后端系列之TechnologyFile
数字后端系列之IO库
数字后端系列之标准单元库
IC验证系列之SV动态数组
IC验证系列之SV静态数组
VLSI设计经验之模拟全定制设计流程
IC验证系列之SV内建数据类型
XilinxFPGA系列之调试设计
IC验证系列之搭建测试平台
IC验证系列之分层测试平台
IC验证系列之功能覆盖
IC验证系列之我们为什么需要受约束随机激励?
IC验证系列之我们为什么需要验证方法学?
IC验证系列之验证计划
IC验证系列之验证流程
IC验证系列之我们为什么需要SystemVerilog
Verilog重点解析(15,循环结构)
Verilog重点解析(14,退出循环)
Verilog设计与逻辑综合实例解析(低功耗)
Verilog设计与逻辑综合实例解析(DFT)
Verilog设计与逻辑综合实例解析(时序分析)
IC设计实例解析之“Memory”
Verilog设计与逻辑综合实例解析(最小化面积)
Verilog设计与逻辑综合实例解析(可综合RTL)
Verilog设计与逻辑综合实例解析(跨时钟域设计)
Verilog设计与逻辑综合实例解析(代码风格)
Verilog设计与逻辑综合实例解析(Memory)
数字IC设计Tcl脚本之变量
DesignCompilerLab自制中文视频分享(B站)
数字IC设计基本概念之时序路径
数字IC验证系列之Driver&Sequencer
数字IC验证系列之事务对象
数字IC验证系列之事务级建模
数字IC验证系列之UVM概述
数字IC设计脚本编程系列——Perl基本概念
Verilog设计与逻辑综合实例解析(有限状态机)
Verilog设计与逻辑综合实例解析(case&if-else)
Verilog设计与逻辑综合实例解析(含代码)(触发器&锁存器)
数字IC设计笔试问题系列–1(50题)
数字IC设计基本概念之多时钟设计
数字IC设计基本概念之时钟属性
数字IC设计基本概念之创建时钟
数字IC笔试题(4)——Nvidia前端设计2018
基于Synopsys的ASIC设计流程系列SDC概述
数字后端物理设计流程系列:概述
基于Synopsys的ASIC设计流程系列Testbench概述
基于Synopsys的ASIC设计流程系列RTL概述
基于Synopsys的ASIC设计流程系列ASIC设计流程概述
基于Synopsys的ASIC设计流程系列:什么是ASIC?
浅谈芯片系列1——ASIC和FPGA有什么区别?
低功耗数字IC设计相关概念及UPF示例
ICCLab1datasetup
低功耗数字IC设计中的工艺库需求
数字IC设计中低功耗设计方法概述
IC设计中不断增加的功耗挑战
数字IC笔试题(3)——Cadence前端设计2018
数字IC笔试题(2)——汇顶设计验证2018
数字IC笔试题(1)——复旦微2017
Verilog设计与逻辑综合实例解析(含代码)(Tasks&Functions)
Verilog设计与逻辑综合实例解析(含代码)(赋值)
数字芯片实验室
文本消息_1549240200
功能仿真重点解析(VCS篇)(1)
静态时序分析
git以及TortoiseGit版本控制入门
使用systemverilog编写测试平台
一个简单的存储系统验证平台tutorial
使用systemverilog验证仲裁器模块
每一个优秀的Designer都会完备地验证自己的Block
SystemVerilog的面向对象编程(OOP)
Systemverilog的interface和clocking模块
Systemverilog的层次化测试平台
使用VCS&SV进行仿真验证的基本功能
XilinxFPGA约束:从UCF到XDC的华丽转身
XilinxFPGA的设计仿真调试
Testbench快速入门指南之受约束的随机激励
Testbench快速入门指南之checker
Testbench快速入门指南之同步结构
Testbench快速入门指南之介绍
Testbench快速入门指南之断言
Testbench快速入门指南之覆盖率分析
Testbench快速入门指南之FIFO仿真
Testbench快速入门指南之基本验证环境
Testbench快速入门指南之program
高扇出网络综合需要考虑的因素

数字芯片设计笔记

TSPC触发器
2019年终总结
AHB介绍
AHB-5的新特性
游戏:太极迷阵
公众号说明
某不差钱的IT公司
53.2018年终总结
52.MIPS开源
51.高速非乱序处理器
50.MIPS:寄存器堆
49.RISC-V:蜂鸟
48.MIPS:访存及写回
47.MIPS:执行
46.MIPS:译码
45.MIPS:ISA和取指
44.MIPS五级流水
投稿01:动态调度–Tomasulo算法
42.2超忆症
42.答题者
41.存储一致性:讨论
40.存储一致性:LLSC
39.存储一致性:Spin-Lock
38.存储一致性:Acquire-Release
37.招聘季笔试题指南
36.Cache:AMDFusion架构
35.Cache:ScratchPad
34.Cache一致性:Local-SharedCache
32.Cache一致性:写穿透模型下的Cache一致性操作
31.Cache一致性:监听总线vs目录
31.Cache一致性:MOESIMESIF协议
30.Cache一致性:MESI协议
29.Cache一致性:MSI
28.虚地址一级Cache
27.二级Cache
26.Cache:LRU替换算法
25.Cache:一级Cache
24.PCIE数据链路层:虚通道轮询
23.PCIE数据链路层:发射队列
22.使用单端口SRAM制作双端口FIFO
21.PCIE数据链路层:LCRC
20.PCIE数据链路层:概述
19.异步FIFO
18.PCIE物理层数字电路:时钟补偿
17.PCIE物理层数字电路:均衡校正
16.时序分析报告
15.PCIE物理层数字电路:LFSR展开
14.PCIE物理层数字电路:8b10b编码
13.PCIE物理层数字电路:字对齐
12.PCIE物理层模拟电路:CDR
11.PCIE物理层模拟电路:PLL和时钟
10.Serdes接口概述
9.ECCDDR
8.大数乘法
文本消息_1518709764
7.矩阵乘法
比特币的机构玩法
6.乘法器
5.华莱士树
4.超越logN
3.向量加法
2.加法器(2)
加法器(1)

杰瑞IC验证

IC验证工程师高效战斗手册—提问之道(上篇)
拆散恩爱小情侣的代价!!!—参考模型集成的一个深坑
uvm_info高级技巧(2)—对uvm_error能睁一只眼闭一只眼吗?
IC验证工程师高效战斗手册—开篇
IC验证之“Timescale”使用小谈(二)
uvm_info高级技巧(1)—如何屏蔽某些刷屏的啰嗦调试信息
IC验证之“Timescale”使用小谈(一)
啥?100个agent?这个台子怎么搭?(下)
我们被bug包围了!!!
啥?100个agent?这个台子怎么搭?(上)
验证工程师经常犯的错误(3)—逻辑短路问题
验证工程师经常犯的错误(2)—运算符优先级
disablefork,你真的会用吗?
for循环+fork-join_none结构的坑,你有注意到吗?
验证工程师经常犯的错误(1)
logic[30][70][50]jerry_play[150][70][40]这个数组你会玩吗?
get_name(),get_full_name(),get_type_name()…这些“小函数”能分清楚吗?
$sformatf()$sformat()函数妙用
AXI总线,关于“贩毒交易”“火车”“马匪”的故事三
AXI总线,关于“贩毒交易”“火车”“马匪”的故事二
AXI总线,关于“贩毒交易”“火车”“马匪”的故事一
$test$plusargs(),$value$plusargs()怎么用?有什么坑?
a[0+8]、b[15-8]什么意思?怎么用?
妙用合并数组
水果与盘子的随机问题?怎么搞
约束里“:=”和“:”傻傻分不清楚?今天彻底弄清!
Jerry曾找了一晚上的bug,你可以一分钟看出来吗?
fork-join挺好用的了,fork-join_any、fork-join_none有什么用?

根究FPGA

PCIe系列第二讲、PCIe的OSI模型与事务层分析(上)
PCIe系列第一讲、PCIe接口的速度与管脚介绍
CRC校验的FPGA实现
从真值表角度分析与消除竞争冒险
从fan-in、fan-out看setup和holdtimeviolation
BlockRAM与DistributedRAM
UDP的FPGA实现(下)基于UDP的图像传输工程分析
杂谈OSI七层协议
一道有趣的笔试题(四)、序列检测
一道有趣的笔试题(三)、锁存器Latch
一道有趣的笔试题(二)、降低功耗
奇分频与偶分频
一道很有意思的笔试题(一)
cordic的FPGA实现(三)、乘法器实现
cordic的FPGA实现(三)、sin、cos函数运算与源码分析
cordic第二讲、向量模式与伪旋转处理
CORDIC的FPGA实现第一讲、简介与算法推导
TTL与LVTTL
MOS与CMOS
触发器与锁存器
时序分析笔记系列(五)、IO约束与时钟约束
时序分析笔记系列(四)、系统时序题目分析
时序分析笔记系列(三)、系统最大时钟频率计算
时序分析笔记系列(二)、启动沿与锁存沿
时序分析笔记系列(一)、建立与保持时间etc.
m序列的verilog实现
coe文件标准与规范
散装记录之如何单独使用modelsim进行仿真
DDR3篇第四讲、DDR3操作时序与关键参数
task函数与function函数的FPGA使用
DDR3篇第三讲、DDR3读写测试项目分析
DDR3篇第二讲、MIG电气接口介绍
DDR3篇第一讲、MIG用户接口介绍
MATLAB之浮点数转化为定点数
求余算法的FPGA实现
定点数相关知识
浮点数
AXIDMA详解与应用篇第三讲、DataCache与DMA一致性问题分析
AXIDMA详解与应用篇第二讲、AXIDMA工程搭建及SDK代码分析
AXIDMA详解与应用篇第一讲
GPIO之EMIO控制呼吸灯设计
GPIO之MIO控制
串口通信系列(二)、I2C通信方式
串口通信系列(一)、UART通信方式
HDMI驱动系列(三)、HDMI的串行化数据处理
HDMI驱动系列(二)、HDMI数据编码算法
HDMI驱动系列(一)、HDMI简介
Vivado中RAMcore与fifocore分析
Xilinx逻辑单元-ug474笔记
Linux学习笔记(二)、常用指令(下)
Linux学习笔记(一)、常用指令
PCIe的XDMA应用
PCIe基础知识与例程分析
PCIe(一)、PCIePIO分析一
Socket通信(二)、基于Qt的UDP传输设计
Socket通信三、TCP文件传输
Socket通信篇(一)
AXI总线协议(一)、协议概述
冒泡排序法三部曲终极版の最优的冒泡排序算法
冒泡排序法三部曲の二冒泡排序法的优化
冒泡排序法三部曲の(一)冒泡排序原理版

瓜大三哥

FPGA中可执行文件:bitbinmcself
攻城狮职场入门必备秘籍
视频相关书籍
MIPICSI-2
HDR
视频处理之Sobel【附源码】
视频处理之浮雕效果【附源码】
视频处理之灰度图
华为P40与周冬雨排列
视频处理之OSD【附源码】
CAN总线技术详解与测试【硬件】
你还在为找神经网络资料而发愁吗?
FPGA上电后IO默认状态
UART
图片知多少?
XilinxXADC
AXI4总线中Outstanding到底是什么
ZoomlT让你在公司演讲教师授课“躁”起来
set_false_pathVSset_clock_groups
physical_exclusive,logical_exlusive,asynchronous,false_path总结
Virtualclock
Verilog实现全并行比较算法
PDF转换为word工具
Vivado联合ModelSim
如何写一个仿真文件——testbench
仿真实例1——正弦函数仿真(ROM)
仿真实例2——BMP图片文件读写
ModelSim的安装、破解、使用(缩放算法仿真)
XilinxFPGA底层资源介绍
FPGA中Retiming技术
FPGA中的复位你真的会用吗?
BRAM中write_firstread_firstno_change你了解吗?
Schematic视图下能看到什么
Device视图下能看到什么
Xilinx7系列芯片的PackageDelay
视频行业EDID编码详情
李宏毅深度学习之DeepLearning神经网络特殊结构(二)
FPGA&ASIC面试题!!!
FPGA如何对bit流加密?AES加密详解!
视频行业之你真的会买电视机吗?
视频行业初识
求职攻略IO的时序约束该如何设置
IP加密
Verilog设计Valid-Ready握手协议
selectio
DDR的PCB设计
串扰
Zynq中PL端SPI接口
zynq中PS访问BRAM(二)
zynq中PS访问BRAM(一)
Zynq中PL读写PS端DDR数据
Zynq中PS端XADC
Zynq中UART
Zynq中的AXI4功能
zynq中AXI4的互联结构
Zynq7000的PS为PL分配信号
Zynq7000的资源介绍
Zynq7000的背景
烧写FPGA程序配置
卷积到底是个什么鬼?
LDO基础知识:电源抑制比
XilinxFPGA和CPLD供电
FPGA中的INOUT接口和高阻态
AuroraNFC(本地流量控制)
AuroraShareLogic
AuroraReset(复位)
TTL、LVDS、TMDS
关于去隔行的一些概念
SI-list【中国】信号完整性基础▏眼图(EyeDiagram)
ScramblingandDeScrambling
FIFO深度计算
MII,GMII,SGMII和SSMII
2019龙泉村常洼组
AuraroUFC(用户流量控制)
优化策略之PowerOptimization
优化策略之Opt_design
视频压缩编码技术(H.264)之SPSI帧
视频压缩编码技术(H.264)之帧间预测
视频压缩编码技术(H.264)之帧内预测
视频压缩编码技术(H.264)之结构
视频压缩编码技术(H.264)——编码器和解码器
视频压缩编码技术(H.264)之算术编码
视频压缩编码技术(H.264)之哈夫曼编码
视频压缩编码技术(H.264)之前世今生
FSMC与FPGA通信
SD-SDI数据解析
VS2详细设计(二)
VS2详细设计(一)
图像处理——alpha融合
YCbCr数据格式
SDI中的GTX
VivadoBitstream生成时候的问题
MIG例化技巧
VivadoNon-Project模式
FPGA内部资源介绍
记Sdram的脑残设计(四)
Sdram控制器(三)
Sdram控制器(二)
Sdram控制器(一)
vim命令总结
FPGA乒乓操作你了解吗?还不赶快来看
ByZakk_XLW,verision2
吉尔德定律
常洼组秧歌队2018
图像识别(自己训练模型)
文本消息_1517204263
告天下
毕业论文
K-近邻算法(KNN)概述
python合并数组
多任务验证码识别
anaconda+opencv3.1.0
人脸识别
基于CNN的人脸检测器——FacenessNet
DenseBox做人脸检测百度研究院
CNN-3DMMextimation(0.9235)
poseshapeexpressionaugmentation(0.9807)
FaceRecognitionviaDeepEmbedding(0.9977):baidu
DeepID2+商汤科技
DeepID3(0.9745):商汤科技
FR+FCN
DeepFace
face++人脸识别
MNIST
tensorflow版本安装
Caffe、TensorFlow、MXnet
matlab串口通信
反馈型神经网络
竞争型神经网络续1
竞争型神经网络
径向基神经网络续1
径向基神经网络
BP神经网络识别性别
BP神经网络续1
BP神经网络
线性神经网络
感知器神经网络
matlab神经网络1
matlabGUI基础11
matlabGUI基础10
matlabGUI基础9
matlabGUI基础8
matlabGUI基础7
matlabGUI基础6
matlabGUI基础5
matlabGUI基础4
matlabGUI基础3
matlabGUI基础2
matlabGUI基础1
Matlab基本语法9
Matlab基本语法8
Matlab基本语法7
Matlab基本语法6
移动两根火柴,得到最大的数字
Matlab基本语法5
Matlab基础语法4
Matlab基本运算3
matlab基础2
matlab基础1
Git通过GUI上传项目
git命令行上传项目
HLSLesson20-实例分析
HLSLesson19-函数层面优化
HLSLesson18-定义ROM
HLSLesson17-数组优化:数组映射和重组
HLSLesson16-数组优化:数组分割
HLSLesson15-for循环优化:其他方法
HLSLesson14-for循环优化:数据流
HLSLesson13-for循环优化:合并
HLSLesson12-for循环优化:基本性能指标
HLSLesson11-cc++testbench书写续2
HLSLesson9-cc++testbench书写
HLSLesson8-基本操作
HLSLesson7-复合数据类型
HLSLesson6-数据类型转换
HLSLesson5-如何处理任意精度的数据类型
HLSLesson4-例子
HLSLesson3-工具介绍
HLSLesson2-工作原理
HLSlesson1-软件工程师眼里的FPGA架构
UVM(十三)之callback
UVM(十二)之各registermodel续
UVM(十二)之各registermodel
UVM(十一)之各种port续
UVM(十一)之各种port
UVM(十)之config机制续2
UVM(十)之config机制续1
UVM(十)之config机制
UVM(九)之sequence机制续2
UVM(九)之sequencej机制续1
UVM(九)之sequence机制
UVM(八)之transaction及field_automation续
UVM(八)之transaction及field_automation
UVM(七)之phase及objection
UVM模型(六)之uvm_component与uvm_object乐闻
UVM模型(五)之factory机制
UVM模型(四)
UVM模型(三)之objection
UVM模型(二)之component
UVM
基于FPGA的Canny算子设计(二)
基于FPGA的Canny算子设计(一)
图像分割(六)
图像分割(五)
图像分割(四)
图像分割(三)之基于FPGA的局部自适应分割
图像分割(二)
对255取余数
图像分割(一)之简介
形态学滤波(七)
形态学滤波(六)
形态学滤波(五)
4x4查找表乘法器
形态学滤波(四)
形态学滤波(三)
建立保持时间分析模型
形态学滤波(二)之Tophat滤波
形态学滤波(一)之概述
基于FPGA的非线性滤波器(四)
基于FPGA的非线性滤波器(三)
基于FPGA的非线性滤波器(二)
杨老师,我们在这祝您教师节快乐
基于FPGA的非线性滤波器(一)之概述
基于FPGA的Sobel算子(三)
基于FPGA的Sobel算子(二)
基于FPGA的Sobel算子(一)
基于FPGA的均值滤波(四)
基于FPGA的均值滤波(三)
基于FPGA的均值滤波(二)
基于FPGA的均值滤波(一)
直方图操作(四)
直方图操作(三)
直方图操作(二)
直方图操作(一)
正弦函数仿真
自由的选择
桶形移位寄存器(二)
ROM
物理约束
FPGAblockRAM和distributedRAM区别
忆往昔
HLS综合策略
XDC
HLS应用流水线
改善时序的方法
aurora8b10b精要
桶式移位器
ScramblingDescrambling
读懂用好TimingReport
用Tcl定制Vivado设计流程
在Vivado中实现ECO功能
虚拟时钟
高级时钟约束
IO约束(下)
IO约束(上)
XDC设计之时钟篇
综合后的资源分析——资源与扇出分析
多周期路径的约束
伪路径
设置输出延迟
设置输入延时约束
创建基本时钟周期约束
时序分析中的基本概念和术语
SystemDMA和BusMasterDMA
AXIBRAMController和Blockmemorygenerator(BMG)
AXIBridge和AXIInterconnect
AXICentralDMA
AXI协议
Aurora8b10Bexample
流水灯
SPARTAN-6
约束文件
实现(Implement)
乒乓结构和流水线设计
if和case语句的区别
建立时间和保持时间
FPGA中的竞争和冒险现象
串口通信控制器的VerilogHDL实现(四)接收模块的VerilogHDL实现
串口通信控制器的VerilogHDL实现(三)发送模块的VerilogHDL实现
串口通信控制器的VerilogHDL实现(二)波特率发生器模块
串口通信控制器的VerilogHDL实现(一)顶层模块
双口同步RAM
单口RAM
硬核乘法器的VerilogHDL调用
块RAM的VerilogHDL调用
DCM模块的VerilogHDL调用
CRC16编码器的VerilogHDL实现
SPI接口协议的VerilogHDL实现
键盘防抖
按键扫描接口的VerilogHDL实现
移位寄存器的工作原理
ROM单元
超前进位加法器
流水线乘法器
串行乘法器
奇数分频
数码管显示电路的VerilogHDL实现
非整数分频模块
偶数倍频
Verilog代码设计风格
Yaffs_checkptrw
Yaffs_ecc
yaffs_bitmap
yaffs_attribs.c
allocater
星云瓶
西电校园一角
Yaffs_guts(三)
yaffs_guts(二)
文件地址映射之yaffs_GetTnode
文本消息_1462845329
yaffs_guts(一)
Yaffs_guts
yaffs_ecc.c
yaffsfs.c
U_boot
文本消息_1461775345
Solutionforwear-leveling
集中索引文件系统
Verilog模块编程要点
Verilog
文本消息_1458554742
文本消息_1458047466
愿你如孩子般纯情
图像配准
图像锐化
文本消息_1457064278
有时候你不吃些苦头,就看不清谁是真正爱你的人。
浅谈矩阵的特征向量特征值的意义
图像融合
江湖上没有你的传说

电子狂人

今天吃粽子了吗?祝大家端午安康~
Matlab系列之变量
Matlab系列之数据类型
Matlab系列之通用命令
Matlab系列之文件管理
Matlab系列之开篇
SystemGenerator系列之使用AXI接口和IPI(一)
SystemGenerator系列之使用AXI接口和IPI(二)
SystemGenerator系列之使用AXI接口和IPI(三)
SystemGenerator系列之使用AXI接口和IPI(四)
SystemGenerator系列之多速率系统的使用(下)
SystemGenerator系列之建立异步通道
就想闲聊下
SystemGenerator系列之多速率系统的使用(上)
SystemGenerator系列之资源分析
SystemGenerator系列之时序分析
光立方设计资料分享
SystemGenerator系列之BlackBox使用(将HDL文件导入进行设计)
单片机版贪吃蛇分享
SystemGenerator系列之使用MCode进行建模控制
基于SystemGenerator的浮点数与定点数设计(实现与分析)
基于SystemGenerator的数字滤波器设计所用资源优化
基于SystemGenerator的数字滤波器(Simulink验证+Block设计+FPGA的仿真验证)
SystemGenerator设计转FPGA设计
初识SystemGenerator(安装+使用)
模数与数模
一些数字信号处理的资料分享
一个曾经被安利的“表白利器”
基于51单片机的一个小游戏
FPGA的基本架构
PCB的一些布线规则
放大器资料分享
做PCB里最靓的那个仔吧~
蓝牙主从模式配置
PID的那些事——参数整定
PID的那些事——增量式PID
PID的那些事——位置式PID
PID的那些事——模拟PID控制
FPGA之激励文件(testbench)的简单分析
FPGA之Modelsim的基础使用
欢迎加入,给你的新人福利!
FPGA之Verilog开胃菜
FPGA开篇
迷乱的通信协议之SPI分析
迷乱的通信协议之UART相关知识
今天遇到的BUG太多,来不及进行时序分析,整理好后再继续。
迷乱的通信协议之IIC时序分析(下)
迷乱的通信协议之IIC时序分析(上)
飞思卡尔恒功率无线充电(下)
飞思卡尔恒功率无线充电(中)
飞思卡尔恒功率无线充电(上)
舞动的幅值—峰值检波电路
红外报警器
谈谈对电子的理解
飞思卡尔资料分享

码农的假期

笔记关于CRPRCPPR的总结
《笔记》深入解析disabletiming
IC技术圈网站升级啦
《笔记》uncertainty设置特例解析
Mux续Glitch-FreeMux
《笔记》深入解析各种donttouch设置
基础原理扯扯SYNC的基本原理
基本CELL介绍DFF的前生今世
基本CELL介绍扯扯MUX
reset相关问题讨论(二)
reset相关问题讨论(一)
深入浅出SDCcelldelay定义和计算
深入浅出SDCwhyuncertainty?
深入浅出SDCexceptions(下)
深入浅出SDC-exceptions(上)容易出错的multicycle
深入浅出SDC-容易搞错的IO相关约束
深入浅出SDC-clock定义(下)合理正确的定义clock
深入浅出SDC-clock定义(上)
深入浅出SDC-前序
深入浅出ClockGating-缘结(clockgatingtiming问题的解决方法)
深入浅出ClockGating-操作(Timing分析工具为什么会自动的做clockgatingcheck?)
深入浅出ClockGating-操作(基于ICGCELL的clockgating形式)
深入浅出ClockGating-操作(基于基本CELL的clockgating形式)
深入浅出ClockGating-缘起(为什么要做ClockGating)

硅农

求职特刊!IC工程师说职场,学生的迷茫,菜鸟的慌张,老手的彷徨
数字IC设计工程师的一天是怎么样的?
【中奖公示】这位朋友后台联系我下哈,谢谢!
今晚九点开奖!捞一下,订阅号粉丝破万抽奖活动!粉丝破万福利!抽送100元现金红包+一个小米小爱同学音箱Play+运动蓝牙耳机,感谢一路相伴!
粉丝破万福利!抽送100元现金红包+一个小米小爱同学音箱Play+运动蓝牙耳机,感谢一路相伴!
芯片设计行业后浪们的真实处境《硅浪》【视频】奔涌吧!《硅浪》bibibibi献给新一代硅农们的演讲!【文稿】【文稿】奔涌吧!《硅浪》bibibibi献给新一代硅农们的演讲!动图不重要,文案需要细细品和成千上十万个硅农们一起996!
奔涌吧!《硅浪》bibibibi献给新一代硅农们的演讲!
【文稿】奔涌吧!《硅浪》bibibibi献给新一代硅农们的演讲!
解放Verilog代码编写体力活——Gvim宏录制功能操作
什么样的Verilog代码风格是好的风格?
硅农专辑
耳光侠之FPGA吊打Everyone
万物基于状态机——状态机大法好
如何高效的编写Verilog——终极版
你要的FPGA&ASIC面试题船新版本!!!
为了证明我没有鸽,而且斥巨资买来了专业级的收声设备,先录制了一个小视频放出来,录视频一镜到底太难了,剪视频太漫长无聊了,嘤嘤嘤,看我这么可怜,快去B站关注我的同名账号硅农,给我投币三连哦!Verilog高效阅读、Debug技巧分享正在筹备中的两期视频预告1.FPGA和ASIC的一些奇怪的知识。2.Windows和Linux平台Verilog-automode插件配置使用介绍。欢迎持续关注哦!捞一下文章,Verilog有什么奇技淫巧?没有,简单够用就好。
Verilog有什么奇技淫巧?
基于Verilog的Cordic算法实现
官宣!硅农订阅号正式入驻B站!
ASIC设计之“四大神器”
疫情时期的logo“隔离记”
QuestaSimModelsim用脚本自动化仿真QuartusIIIPCore
向我提问只有这两个渠道
Verilog几个这样的写法
Verilog代码设计之“神精匠工”
紫光展锐首款5GSOC终端手机
隔离在家,如何学习FPGA?
【视频】硅农脱口秀——我太难了
【文稿】硅农脱口秀——我太难了
文本消息_1581572648
IC脱口秀——我太难了
信息茧房效应
一个IC工程师如何HO?
连续两个月996是一种什么样的体验?
IC技术圈2020年第一期
为什么女性越来越自由了?
【抖肩舞】当IC验证工程师遇到IC设计工程师
大众总是健忘的
美人鱼名场面之ZYNQ流水灯项目
数的操作
MTK,Yes!!!
非洲小朋友致各位硅农!
为了方便仿真这样写个ROM
文本消息_1573432788
如何用Verilog设计一个RAM?
硅农双十一福利,错过等一年!
如何用Verilog设计一个复数乘法器?
画时序图工具哪guo强?
这才是用Gvim写Verilog的正确方式
聊聊我做IC自媒体的故事
算法Code原理太难了,看不懂怎么办?
Chisel引领敏捷硬件开发浪潮
Chisel是什么
我太难了!学校与工作的不同
如何做好芯片产品定义?
我眼中的方案VS主管眼中的方案!
如果你突然被裁员了,你的PlanB是什么?
IC工程师入职第一天
基于FPGA的数字字符识别系统
基于FPGA的运动目标检测系统设计与实现
如果李佳琦毕业季去微电子专业的书
芯片博弈——如何和强者博弈?
为什么有人说别进微电子的坑,劝转CS?
基于Verilog实现2ASK调制
请收下这份求职指南!
基于HDMI的图像处理显示
从幕后到台前,开启IC职场新思路
新技术推动新学习,人人都能领略经济学的美妙
硅农交流群001正式启动
仿真都不会,玩什么FPGA?
FPGA工程师如何转行做ASIC
进个学习交流群还有啥附加条件?
基于FPGA的人脸检测
基于薛兆丰老师的人脸检测
浅析FPGA设计技巧之乒乓操作
5G手机现在真的值得等吗?
你要的FPGA&数字前端笔面试题来了
2018中国Fabless十强出炉!
投什么半导体!网红投资经理最新万字搞笑长文
为什么应该拥有属于自己的技术博客?
Verilog设计IIR低通滤波器
基于FPGA的中值滤波算法实现
文本消息_1548237600
你问我FIFO有多深?
看了这篇文章你还不懂调制就来打我吧!
基于FPGA的DDS设计
你好,2019,5G元年
硅农订阅号2018年终盘点
硅农知识星球使用手册
想从事IC行业你为什么应该考个研究生?
Verilog设计异步FIFO
【荐读】拆掉思维里的墙
Verilog设计低通FIR滤波器
GlitchFree时钟切换技术
听说有朋友不喜欢用Vivado,所以我就…
一遇见就迷上了它——高效的Markdown编辑器Typora
文本消息_1543111200
你要的FPGA的图像处理资料,都在这了
小米AirDots青春版只评不测
Verilog99题之010-019
你真的会XilinxFPGA的复位吗?
等我做半导体发财了,就和你离婚!
Verilog99题之001-009
我不是任何品牌或个人的粉丝,我是产品的粉丝
学技术你还在用百度?
有哪些只有IC工程师才能get到的梗?
IG牛逼,然而我下午在做题
如何在Word中高效的编写公式
数字电路基础
有哪些电子工程师才能get到的梗
跨时钟域处理
时序逻辑电路基础
欢迎加入硅农交流群
FPGA&ASIC基本开发流程
小米手环3NFC版上手体验
对不住了,各位,我转行了
你们已经是一个成熟的软件了!
关于FPGA的一些小见解
基于FPGA的I2C读写EEPROM
基于MATLAB的腐蚀膨胀算法实现
基于MATLAB的Sobel边缘检测算法实现
基于MATLAB的中值滤波算法实现
基于MATLAB的均值滤波算法实现
基于MATLAB的RGB转YCBCR色彩空间转换
基于VerilogHDL的二进制转BCD码实现
Vivado常见问题集锦
ISE14.7兼容性问题集锦
基于FPGA的4x4矩阵键盘驱动调试
基于SDRAM的视频图像采集系统
SDRAM读写操作解析
FPGA系列强势表情包来袭~
Vivado级联Modelsim仿真Re-launch问题
Vivado&ISE&QuartusII调用Modelsim级联仿真
继续死磕SDRAM控制器
是否有必要学习使用纯Verilog写一个SDRAM控制器
基于VerilogHDL的超前进位全加器设计
Modelsim中使用TCL脚本编写do文件实现自动化仿真
IIC协议学习笔记
Zynq学习笔记(1)——HellowWorld
如何高效的编写VerilogHDL——进阶版
Vivado2017封装自定义IPCore
基于FPGA的HDMI高清显示接口驱动
基于XilinxFPGA的视频图像采集系统
Modelsim独立仿真VivadoClockingWizardIPCore
Modelsim10.2c使用教程(一个完整工程的仿真)
文本消息_1514741778
评测国产FPGA使用体验
文本消息_1511773759
基于basys2驱动LCDQC12864B的verilog设计图片显示
浅谈VerilogHDL代码编写风格
基于FPGA的均值滤波算法的实现
基于FPGA的RGB转Gray算法实现
文本消息_1510990992
基于FPGA的静态图片显示
Arduino上传数据至贝壳物联并与FPGA进行交互
如何高效的编写VerilogHDL——菜鸟版
基于FPGA的肤色识别算法实现
纠错:基于FPGA串口发送彩色图片数据至VGA显示
基于FPGA的Uart接收图像数据至VGA显示
基于FPGA的腐蚀膨胀算法实现
终于等到你——原创保护!
量化压缩和量化补偿
基于FPGA的Sobel边缘检测的实现
文本消息_1505047184
深刻认识ShiftRAMIPCore学习笔记
Vivado2015.4使用教程(一个完成工程的建立)
数字图像处理界标准图像Lena后面的故事
基于FPGA的RGB565_YCbCr_Gray算法实现
基于FPGA的VGA静态图片显示
您好!您容量为1T的资源到了请您查收!
深刻认识shift_ramIPcore——图像处理学习笔记
基于FPGA的彩色图像转灰度算法实现
FPGA计算3行同列数据之和
基于basys2用verilog设计多功能数字钟(重写)
如何学习FPGA(转)
全网首创ISE入门级教程讲解
ISE14.7安装教程(转)
到了大学以后,你还能遇到好老师吗?
文本消息_1498272506
微电子1503班表彰大会圆满举行

硅农亚历山大

助力研电赛芯来RV-STAR开发板等你来拿
答题抽奖RV-STAR开发板月月送
UX600发布,芯来RISC-V处理器开启Linux篇章
520,电子工程男选的礼物是什么样子?
RISC-VCPU硬件访问device区域微乱序的影响
从业十年,电子工程师的工位是怎样的?
RISC-VGCC工具链编译安装
放假不忘学习–蜂鸟E203开发实战
RISC-V处理器设计课程(7)低功耗机制与可扩展协处理器
国产RISC-V开发板RV-STAR初体验
RISC-V处理器设计系列课程(6)蜂鸟E203异常与中断
RISC-V处理器设计系列课程(5)蜂鸟E203存储器架构
RISC-V处理器设计课程(4)蜂鸟E203指令流水线设计
195位高管眼里的半导体机遇和挑战
RISC-V处理器设计系列课程(3)蜂鸟E203设计概况
RISC-V处理器设计系列课程(2)RISC-V架构基础
RISC-V处理器设计系列课程(1)CPU基础知识
芯来科技推出RV-STAR是一块怎样的开发板?
提振抗疫信心,长江存储三年三大战役,与全球同步推出128层3D闪存
台积电2.5D封装产能吃紧,英伟达、AMD、海思纷纷增单
7.6万人停工!东芝宣布关闭日本所有工厂
芯之火,可燎原芯来科技RISC-V大学计划上线啦!
搭建RISC-V应用生态平台,RVMCU网站全新上线
GD32VF103获国际大奖,芯来科技发布RV-STAR开发板
促进RISC-V软件生态,芯来科技发布NucleiSoftwarePlatform
芯来科技2020春季校招社招全面启动啦!
升级“一分钱计划”,芯来助您开启RISC-V之门
RISC-V生态交流会拥抱RISC-V开放生态,赋能AIoT创新变革
芯来科技2020年校园招聘开始啦
RISC-V就该这么玩RISC-VMCU网站上线了
终于等到你芯来科技助力兆易创新推出全球首款通用RISC-VMCU芯片
解读RISC-V架构和国产IP不受美国出口管制
芯来科技推出“一分钱计划”,助力RISC-V大规模商用
芯来科技诚招热爱RISC-V处理器的你
蜂鸟FPGA开发板全知道篇4移植FreeRTOS
《RISC-V架构与嵌入式开发快速入门》来啦!
《蜂鸟FPGA开发板全知道篇3开源SoC简介(4)》
《蜂鸟FPGA开发板全知道篇3开源SoC简介(3)》
《蜂鸟FPGA开发板全知道篇3开源SoC简介(2)》
《蜂鸟FPGA开发板全知道篇3开源SoC简介(1)》
蜂鸟FPGA开发板全知道篇2:快速上手介绍(下)
蜂鸟FPGA开发板全知道篇2:快速上手介绍(中)
蜂鸟FPGA开发板全知道篇2:快速上手介绍(上)
蜂鸟FPGA开发板全知道篇1:开源内核简介
蜂鸟FPGA开发板和JTAG调试器介绍
RISC-V嵌入式开发入门篇2:RISC-V汇编语言程序设计(下)
RISC-V嵌入式开发入门篇2:RISC-V汇编语言程序设计(中)
RISC-V嵌入式开发入门篇2:RISC-V汇编语言程序设计(上)
RISC-V嵌入式开发入门篇1:RISC-VGCC工具链的介绍
RISC-V嵌入式开发准备篇2:嵌入式开发的特点介绍
RISC-VShanghaiDay邀您一起玩
国内第一本RISC-V中文书终于可以买了
ARM中国合资公司是救星还是铁蹄?是中国的突围战还是ARM的歼灭战?
入门设计基础之:无敌的面试题–计数器
ISA请扛起这口锅——为什么国产CPU尚未足够成功
抢先签名版《手把手教你设计CPU——RISC-V处理器篇》已到
目录《手把手教你设计CPU——RISC-V处理器篇》
动如脱兔,静若处子——处理器低功耗设计的诀窍
磨刀不误砍柴工——GitHub的基本使用快速入门
Verilog“设计”为什么是个“木匠活”
八一八处理器流水线(下)?
大道至简——RISC-V架构之魂(下)
大道至简——RISC-V架构之魂(中)
大道至简——RISC-V架构之魂(上)
《RISC-V嵌入式软件开发》专栏介绍
《RISC-VCPU设计》专栏介绍
《Verilog就该这么学》专栏介绍
谁说国内无RISC-V开源核——您还不知蜂鸟E200?
实例讲解进驻Google两位大神主推的异构计算与RISC-V
进入32位时代,谁能成为下一个8051

科学计算Tech

马斯克星链太空互联网开启私人测试申请!
latex公式神器!!!
大家一致避免使用的锁存器为什么依然存在于FPGA中?我们对锁存器有什么误解?
Python3入门与进阶(视频教程)
基于梯度下降算法的线性回归拟合(附pythonmatlabjulia代码)
Numpy应用整理
数字信号处理视频教程–西电
信号的频谱频谱密度功率谱密度能量谱密度
Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?
详解MATLAB图像函数及其应用(配视频教程)
HowtowritefastMatlabcode
Matlab中相见恨晚的命令(持续更新)
Matlab并行计算GPU加速视频教程Part4~6
FPGA时序约束理论篇之xdc约束优先级
3数学运算矩阵操作
XilinxFPGAPartialReconfiguration部分重配置详细教程
Matlab并行计算GPU加速视频教程Part1~3
为什么信号的时宽带宽积是常数?
Python语音信号处理入门教程
C++map和unordered_map详解
Julia教程课件
11并行计算
10元编程
9模块
Matlab中短时傅里叶变换spectrogram和stft的用法
8JuliaIO操作
搞定Markdown中的图片,一劳永逸的方法!
7Julia绘图工具
5Julia控制
4函数方法多重分派
Matlab中fft与fwelch有什么区别?如何用fft求功率谱?
C++const详解
费马猜想有哪些?为什么数学家们花了数百年时间去证明?
C++内联函数inline的详细分析
C++vector用法详解
SystemVerilog教程之数据类型1
知网论文免费下载
FPGA资料分享
Vivado中模块封装成edif和dcp
FPGAIC技术圈期刊2020年第二期
自动驾驶入门之视觉定位坐标转换
什么是噪声温度?-174dBmHz又是什么?
FPGA中的有符号数乘法
为什么推荐使用XPM?
同样是搞IT,为什么人工智能造造机器人就能年薪百万?
RAMIPCore中WriteFirstReadFirst和NoChange的区别
Vivado调试小结:ILAdebug中的数据也许并不可信
FPGA时序约束之Vivado辅助工具
FPGA时序约束实战篇之延迟约束
FPGA时序约束教程的讲义
FPGA时序约束实战篇之多周期路径约束
FPGA时序约束实战篇之伪路径约束
Python才是世界上最好的语言
FPGA时序约束理论篇之IO约束
FPGA复位的正确打开方式
如何使用Git进行Vivado工程的管理
FPGA时序约束之Tcl命令的对象及属性
影响FPGA时序的进位链(CarryChain),你用对了么??
FPGA技术交流群
0Julia教程目录
1Julia简介及安装
2.JuliaREPL变量
FPGA时序约束实战篇之衍生时钟约束
跌宕一百年1
FPGA时序约束实战篇之主时钟约束
FPGA时序约束实战篇之梳理时钟树
Virtex7Microblaze下DDR3测试
Matlab高效编程技巧
生成VerilogHDL例化模板
FPGA时序约束理论篇之两种时序例外
FPGA时序约束理论篇之时钟周期约束
FPGA时序约束理论篇之时序路径与时序模型
FPGA时序约束理论篇之建立保持时间
DCMDLLPLLMMCM区别
github多账户切换
饥饿的盛世1
微软官方上线Python教程
Vivado生成HDL例化模板
Matlabfvtool滤波器频响的幅度显示归一化
Mathworks直播资料分享
哪种均线组合才是最优的?
13如何写出高性能的Julia
12Julia科学计算
6Julia类型
Matlab2019a破解文件!
策略篇–量化课堂之彼得林奇PEG策略投资
如何在赌局上获得最大收益?靠凯利公式!
pandas应用整理
Julia中常用的库
JuliaPythonMatlab基本语法比较
编程小技巧之matlabpython画二项分布的动态图
每天一点量化知识—策略风险评估指标

精进攻城狮

C++自问自答[11-15]
干回去!
FPGA中XILINXCLB和INTELLE
C++自问自答[6-10]
C++自问自答[1-5]
求职攻略2021年校招提前批第一枪(vivo芯片设计岗)
一起学IC验证SystemVerilog110题(一)
一起学IC验证SystemVerilog110题(二)
一起学IC验证SystemVerilog110题(三)
一起学IC验证SystemVerilog110题(四)
一起学IC验证SystemVerilog110题(五)
ADC的精度和带宽问题,你知道多少?
VIVO2021届提前批芯片设计工程师笔试总结
【干货】八小时超长视频教你掌握FPGA时序约束!
欢迎收看《ADC揭秘系列之时间交错技术》
STA-0.静态时序分析概述
数字IC和FPGA工程师岗位职责和要求
公告
老师把毕生的功力传授给你出去就说2年经验
DDR定向爆破[2]
DDR定向爆破[1]
ug901-vivado-synthesis_learing_notes[15]
ug901-vivado-synthesis_learing_notes[25]
川建国
FPGA硬件逻辑和数字IC中笔试面试常考的FIFO问题
高压危险,闲人免进——IIC总线
【墙裂】推荐几款好用的PDF神器
一文掌握FPGA硬件逻辑和数字IC中笔试面试常考的分频问题
[6]模数转换器和数模转换器2
[4]硬件架构的艺术:数字电路的设计方法技术
[3]硬件架构的艺术:数字电路的设计方法技术
[5]模数转换器和数模转换器1
[4]信号运算电路
[2]硬件架构的艺术:数字电路的设计方法技术
[3]信号线性变换
[1]硬件架构的艺术:数字电路的设计方法技术
[2]信号滤波
[0]硬件架构的艺术:数字电路的设计方法技术
[1]信号变换基础
祝各位大佬,新年快乐
opencv图像处理之矩阵的掩膜操作
opencv+vs2015开发环境搭建
紧随时代的大潮,疯狂捞一笔
XilinxVivadoHigh-LevelSynthesis从入门到放弃之流水灯[1]
FPGA之XilinxVivadoHigh-LevelSynthesis从入门到放弃
LOOK!是时候给渣渣电脑提一波速
【转载】华为海思校园招聘岗位和流程介绍
强势安利一款公式COPY神器MathpixSnippingTool
AMD在2019台北国际电脑展主题演讲中公布多款领先的新一代产品(牙膏厂开始急了)
微软重组的背后:未来属于“微软”,而不只是Windows
微软推出实时人工智能项目BrainWave
现在食客对川菜的误解和厨师的无奈与坚持–邓华东和他的传统川菜

网络交换FPGA

具有调节器和非理想时钟的时敏网络中的时间同步问题
新飞船返回舱开舱,央视持续报道时间触发以太网技术
带有同步器的NoC结构是解决FPGA高速时序收敛的关键原因吗?
听老黄谈可组合、可加速、可编程的未来数据中心架构!
【源码实战】你要的时序约束实例演示视频来了!
目前学术界最先进的数据包调度器介绍!
【干货】三小时独家视频详解玩转VivadoIP核秘诀!
【开源】竖亥:实测FPGA平台上HBM的惊人带宽!
从58Gbps到2Tbps:FPGA光子芯粒对传统收发器的降维打击
一位业界大佬总结的硬件可编程网络的前世今生!
一种可以监控全世界网络的可编程智能NIC
Google芯片自动布局论文解读
FFShark-一种可以监控全世界网络的100G可编程智能NIC
祝贺我国首次成功完成高速局域网空间在轨试验——新一代载人飞船上的“通院故事”
业界第一个真正意义上开源100GbpsNICCorundum介绍
谷歌会超越三大巨头垄断芯片EDA设计工具吗?
谈谈“白兔”时间同步技术在5G和金融中心中的应用
微软Azure加速网络:公共云中的SmartNIC
高端FPGA揭秘之存储及高速接口
高端FPGA揭秘之工艺及资源竞争
【实测】网络中可以传小于64字节的数据包吗?
高端FPGA揭秘之设计工具起源
硬件定制的TOE也是美股频繁熔断的原因之一吗?
5G上行,真是让人操碎了心!
FPGA是网络交换领域的不二选择
【新手入门】ISE工程升级到Vivado及板级信号调试技术
【新手入门】Vivado工程升级及板级信号调试
老师,你帮我在实验室电脑上安装一个Teamviewer软件吧
留守在家,如何提升和精进FPGA设计能力?
[源码]Vivado调用QuestaSim仿真小技巧
[干货]FPGA设计中大位宽、高时钟频率时序问题调试经验总结
IC技术圈
SGMII接口前导码小于7个字节55的情况
不会用示波器的Verilog码农不是一个好码农(LVDS与SpaceWire接口)
【重磅干货】手把手教你动态编辑XilinxFPGA内LUT内容
位宽不足时数据溢出导致系统“假性卡死”问题分析
实验室自研工具VivadoBatchModeTool介绍!
[干货]手把手教你用Zedboard学习Linux移植和驱动开发
龙芯杯CPU设计竞赛与ZYNQ设计流程介绍
NCVerilog+SimVision+Vivado仿真环境搭建
一种通过FPGA对AD9558时钟管理芯片进行配置的方法
超5G,强实时,西电TTE2.0演示系统发布!
Verilog代码转VHDL代码经验总结
RGMII接口调试使用VIO读取PHY寄存器值
介绍一篇可以动态编辑XilinxFPGA内LUT内容的深度好文!
摆脱Vivado单独建仿真环境的终极解决方案
【干货】推荐一款FPGA仿真调试鸟枪换炮的工具!
为什么FPGA调试中双口RAM的读写冲突总是隐藏的很深很深
平均年薪30万!人才缺口500万!人工智能工程师为什么这么火?
Vivado设计锁定与增量编译(附工程)
FPGA中的时序约束–从原理到实例
【干货】EDA顶级盛会DAC2019会议全文链接下载(含科研翻译利器演示)
国内自主研发一款5G手机芯片需要多少钱?
定了!明年开通!你要的地铁六号线最新进展来了!
以太网中时间同步的那点事
【源码】基于FPGA的PPPoE协议获取账号密码的攻击实现
Xilinx7系列690TFPGAGTX的QPLL和CPLL使用问题
我要去1999年了,你有什么话需要带回去吗?
TSN、智能驾驶和边缘计算有什么关系?
大美秦岭顶雾凇!
PCIe接口中断驱动寄存器被覆盖问题的发现与解决
西安地铁六号线一期2018年施工进度情况汇总
从gem5到ASIP,如何打造一款自己的交换芯片模拟器?
FPGA与嵌入式CPU的LocalBus接口调试
意见征集《芯片加速力引论》教学大纲
从《ChipWars》一文看国内高校的芯片研究现状
西电通院ISN实验室与网络安全芯片的国家战争
MR时代芯片即战力!
欢迎关注“西电通院集成电路设计导论”公众号
实验室自研产品介绍:一种多功能的三端口T型转发器
实测Windows7操作系统响应PCIe中断的最小时间间隔
一种动态调整RGMII接口时序的方法
SDN先驱Nick教授以及netFPGA无法完美实现6802时间同步
TTTech与Intel联合发布TSN白皮书,强调FPGA在TSN中的应用
一个40岁老码农的总结,奋斗没有意义,选择大于努力
干货!实测VxWorks响应PCIe中断的最小时间间隔
FPGA做正则匹配和网络安全,究竟有多大的优势?
高速串行接口与GTXE_COMMONGTXE_CHANNEL问题汇总
拉普拉斯的传奇人生
EDA算法探究–20世纪10个影响最大的算法在EDA领域的应用
微信公众号“蓝海微创新”采访实录
别浪费了你的高学历
人生二十年!诺奖、扫地僧与海底捞“最牛服务员”
通信交换的百年沧桑
做FPGA和芯片为什么要学Chisel?
研发分享:人工智能芯片的挑战与实现
芯片后端设计工程师是否会被人工智能算法取代?
从.JPG到.AVI,这篇视频编码的最强入门科普,你值得拥有!
AI+集成电路,两场会议看我们和国外的差距!
你要的面试题来了!百道笔试面试题为你助力!
用Modelsim独立仿真带VivadoIP核的仿真工程
如何用ModelSim独立仿真ISE的仿真工程
写给所有奋斗在一线的ICer,有我们相伴,你并不孤独
西太路未来之瞳开建和新西安南站最新规划图
发论文是摆设,还是真创新?终于有人站出来说真话了!
好消息西电南北校区8月28日开始通大公交了!通地铁也不远了!
有史以来最强的5G入门科普!
NASA是如何登陆火星的?
每一天,为自己加油!
短信,到底是怎么工作的?
“不学习的日子,吃雪糕都是苦的”
鲲鹏翔空,我们将继续努力!
准研一暑期培训片段
热烈祝贺王江舟校友当选英国皇家工程院院士!
聊聊来腾讯的感受
邱智亮-做一个执着快乐的工匠
干货:Vivado直接修改RAM初始化文件,避免重新综合、实现的方法
从一次TSN相关协议实现中了解以太网MAC地址的含义
做一个靠谱的人
如何快速生成Verilog代码文件列表?(内附开源C代码)
Verilog从入门到放弃,你到哪个阶段了?
Vivado进行FPGA调试“犯罪现场”,在仿真环境中重现方法
一个人,一个想法,一家公司和即将被改变的全世界网络
基于时间触发以太网的AS6802时间同步协议的设计与实现
基于以太网MACIP核的IEEE1588协议的设计与实现
从世界杯期间发生的几起悲剧说起
FPGA,你为什么这么牛?
你见过物理层的以太网帧长什么样子吗?
《西电新校区向西,未来之瞳666米超高今日开建!未来科技城规划芯片小镇!》后续
触景无限投资InspiritIoT并宣布战略合作,打造首款「感知」芯片
西电新校区向西,未来之瞳666米超高今日开建!未来科技城规划芯片小镇!
使用VIVADO中VIO模拟CPU接口进行在线寄存器读写调试(附源代码)
国家技术发明奖一等奖马晶谭立英团队:进行我国星地激光通信试验
2018图灵奖Lecture:计算机体系结构的又一个黄金时代:特定领域的软硬件协同设计,增强安全,开源指令集和芯片的敏捷开发
【西电博物馆·藏品故事】星空下的通信秘密
毕业寄语-做自己的英雄
中国集成电路产业发展艰难历程回顾
像草一样去做人!深刻!
一张通往计算机世界的地图
为什么说光学工程是一门高大上的学科?
访分组交换之父伦纳德·克兰罗克
深度如何评价微软在数据中心使用FPGA?
我为什么鼓励你读计算机博士
全球半导体产业发展史!(第一章、第二章)
华为老兵讲国产操作系统背后的故事:里面有倪光南、任正非、马云等
戴帽子的人才支撑不了芯片产业,需要脚踏实地的工程师
今天是芯片做不出,明天有比芯片还严重的危机
仙童传奇
Verilog开源项目总结
全球十六大硅晶圆生产厂商排名及IC设计行业及相关上市公司梳理
一颗芯片,咋就这么难造?
经历≠经验,码农如何工作10年依然是菜鸟?
刷爆朋友圈的偷拍照:你没穷过,你不懂!
联想和华为的1994年
在比特大陆上班是一个怎样的体验?
Python3实现ICMP远控后门(下)之“Boss”出场
从美国集成电路的发展历程看“中国芯”的困境
香港中文大学校长:如何不负此生(掌声把屋顶掀翻)!
中国芯酸往事
「荐读」华为离职副总裁徐家骏:千万年薪12句肺腑之言,太震撼了
FPGA工程师:从绝望到绝地逢生
CPU诞生鲜为人知的故事:首款8位CPU并不是Intel的,仅比4004晚了两个月
300年都不用检的高铁专用螺母是怎么炼成的
祖国,谁让你“芯”痛?
沉痛悼念黄敞先生:一腔赤子情铸就中国芯
西电免流量限制上网攻略!Python实现PPPOE攻击工具,秒杀拨号上网
未曾忘却的记忆:回旋在酒仙桥地区的集成电路梦
WiMAX战争回忆录
历史进程里的中国半导体产业
基于FPGA的雷达信号处理实现
雷军:选择比努力更重要,但只有努力了才有机会和能力去选择
总书记关注!人才缺口达70万,这个专业被大力扶持
墙角的父亲(一篇让千万人潸然泪下好文)
CPU诞生记|CPU制造全过程详解
中兴被封杀后,才发现这个中国科学家厉害得超乎想象!
中国芯片史:还是毛主席有眼光,20多年追平美国
龙芯中科总裁胡伟武解读自主可控CPU“三要素”
中国芯片现状!这篇分析最全!
湖畔大学梁宁:比能力重要1000倍的,是你的底层操作系统。
推介:集成电路设计领域公众号及微信群
一个通院集成课教师眼中的中兴事件与选课
这项技术将成为集成电路验证的未来?
13学习没烦恼集成电路课堂笔记
51用车李华兵:雷军教我怎么做风口上最肥的猪
清华大学副校长施一公:我的认知再度崩塌了,世界可能根本就不存在
没有遮羞布的芯片,是中美贸易大战不能承受之重!
ARM太贵,80多家科技巨头悄然站队开源芯片架构RISC-V
modelsim下载、安装、破解全攻略
AI正在改变芯片设计
2017年图灵奖重磅公布:由体系结构宗师–RISC处理器的发明者与践行者共同获得!
这几本书在各自领域,都算是巅峰之作
SGMII接口调试
CCBN2018上的HINOC–发布全球首款接入速率4Gbps的HN4000样机!
首发!赛灵思推颠覆性AI芯片正面宣战英伟达英特尔
ASIC设计学习总结之工具及书籍文档
2018年专用集成电路设计课程选课宣传
汉天下维权公告
胡正明最新分享:晶体管微缩会终结吗?
文本消息_1520554011
ICU出来的广州90后
RISC-V成功在望?
基于RISC-V架构的开源处理器及SoC研究综述
惊人净利润率超苹果!全球学术出版五巨头已成垄断之势,著名“学术侵权机构”如何反击?
一位放弃研发的工程师:中国对技术的藐视极不正常!
网络处理器概述
复旦大学教授:研究生读得好,人生就像开了挂
爱立信的百年沉浮
巨震!一代枭雄突然公布凶讯!巨头们彻夜无眠!
张泉灵:时代抛弃你时,连一声再见都不会说
文明进化论:从DNA到晶体管
基本知识科普,CPU、MCU、FPGA、SoC这些芯片有啥异同?
祝大家新年快乐!谷歌自研芯片(TPU)或将改变世界
AI芯片终极难题被清华大学IC男神解决了!
干货转型人工智能,你需要掌握的八大神经网络
学界商汤联合提出基于FPGA的快速Winograd算法:实现FPGA之上最优的CNN表现与能耗
MIPS创办人任谷歌新董事长,未来将更关注芯片?
为什么不能用CPU挖矿?CPU挖出一枚要1万年!
IKEA创始人去世了,他的这9个「伟大」你需要知道
不能忘记的中国计算机发展历史——CCF认定首批“CCF中国计算机历史记忆”
Vivado2016.2的又一个BUG!
【原创】有时想想人生还真容易–一个博士大牛的10条PCB设计感悟(文中有彩蛋)
星星之火,可以燎原–国产半导体设备制造业发展概况
怕吃苦吃苦一辈子,不怕吃苦吃苦半辈子
56岁才创业,如今年利润却是华为1.5倍,他是个让对手发抖的人!
线性代数的本质
发现了vivado2016.2版本的一个BUG!
比特革命之一:推动摩尔定律的强大自由动力!
年轻人的出路在哪儿?——菜市场中的两个中国!
中国雷达技术突飞猛进,主要因为用了这个先进芯片
CCCF:包云岗关于RISC-V成为印度国家指令集的一些看法
晶体管发明70周年纪念
为什么越来越多的数据中心使用FPGA?
励志!他设计了先进的指令集,申请三项专利,并设计出了自己的CPU!
深度剖析“芯片漏洞”—决不是一家公司问题,需要产业协作来解决
绝地求生外挂源代码被公布,或迎神仙大战时代?
原来他们在寻找FPGA和ASIC搞不定的算法,盘活全球的GPU算力
264天、16.5万行代码、Apollo2.0发布,一个「日夜兼程」的百度
NPU来了!外媒公开AI主流芯片性能跑分,结果真相了
FPGA的三个时代:可编程技术30年回顾
芯片缺陷的前世与今生
AI玩微信跳一跳的正确姿势–跳一跳Auto-Jump算法详解
千人风采余浩教授:从你的全世界路过——基于智能物联网的智慧城市
英特尔处理器被谷歌一团队爆出存在严重漏洞,1995年之后的系统都受影响技术详解
国内首个二代基因测序FPGA加速方案落地腾讯云,人类全基因组分析从30小时到2.8小时
“跳一跳”游戏外挂原理详析(手动版)
为什么要用车载以太网,为什么不用传统以太网?
xPU有多牛:对移动端AI芯片的看法
2017年全球AI芯片公司大盘点
异步复位同步释放机制-系统完美稳定
如何让数字硬件设计简单化
如何提高DDR控制器存取以太网帧的利用率?
校企案例东南大学基于计算机系统能力培养的实践课程体系
FPGA竟然使AppleII个人电脑做回了自己!
FPGA与工业4.0
余凯重磅发布地平线中国芯“征程”与“旭日”,中国首款嵌入式人工智能视觉芯片问世
深度AI芯片之智能边缘计算的崛起
丛京生丨接近摩尔定律尽端的计算
RISC-V架构进军储存、AI领域—后摩尔时期的新生力量——FPGA
他刚刚34岁,却5年狂揽1200亿,这位80后是如何做到的?
疯狂比特币背后的矿机芯片制造商及代表产品汇总
VerilogHDL描述的组合逻辑环在FPGA实现时到底有什么问题?
推广一下实验室研三学生的书
养成坚持记日志的好习惯
不止寒武纪这些国内AI芯片公司也被巨头看好
程序员提高效率的辅助开发软件神器汇总
转陈怡然老师的文章:和导师一起赶文章死线(Deadline)的十大注意事项
英特尔芯片安全缺陷背后隐藏的黑洞
课堂演示实验三-串口通信实验
课堂演示实验二-基于FPGA的VGA控制电路设计
第三次上机作业问题汇总
芯片测试领域研究热点-硅后验证(postsiliconvalidation)简介
VerilogHDL代码转VHDL代码
分享程序–VerilogHDL代码分析及整理软件
课堂演示实验四-以太网控制器
TensilicaInside!杭州国芯应用CadenceTensilicaDSP,推出首颗物联网人工智能芯片
浅谈人工智能:现状、任务、构架与统一正本清源
关于上机等问题的事件经过
【中国半导体脊梁】中芯国际:跟踪先进制程,强化成熟制程,追逐芯片强国梦
流水灯上板实验
Verilog描述FIFO以及FIFO的使用
第二次作业问题汇总及其它
用QuartusII和ModelSim做后仿真(时序仿真)
干货!VerilogHDL初设计注意事项
个人及团队介绍
2017年通院《专用集成电路设计》课程简介
一些Verilog的基础知识及第一作业批改的补充说明
第一次作业分析及第二次作业说明
跨时钟域信号的处理方法
哈工大博导高会军:从中专生到“世界最具影响力科学家”
从临沂放牛娃到清华校长,他考研3次,读博7年,英语极烂,却做出诺奖级的科研成果
数字IC设计工程师笔试面试经典100题
Modelsim的安装与使用
网络与交换团队:做网络与交换领域定制设计的“工匠”
新时代、新格局、新征程—评赵海军和梁孟松受任中芯国际联合首席执行官兼执行董事
CPUDIEPhoto大全
集成电路技术产业及技术介绍梳理
近日消息四则:DRRPA芯片计划-黄敞终身成就-C语言之父-汤晓鸥
简评中国芯片,这才是国产CPU的真正现状!
逆向常用555定时器芯片(CMOS工艺)
从零开始的计算机系统,从本质上深入理解计算机
参与流片是一种怎样的体验?
【半导体人要看看】胡正明教授亲传技术创新与研发难题解决
WIFI与WAPI的终极之战:中美之间WIFI国际标准战争的技术真相
致中国半导体工作者的风雨60年
人工智能芯片FPGA的30年成长史
松果处理器的前前世世
我们的龙芯3号—致龙芯15周年
硅谷王川:摩尔定律还能走多远
无折腾不人生–一个技术牛人的电子人生
低调,中国的FPGA到底有多强?!
深度拆解,透视iPhone8Plus的芯片
FD-SOI再怼FinFET,GlobalFoundries、三星兵工厂又出新武器
安全法国企业研究出芯片防反向工程新方法,添加防护模块进行硬件级别检测和防护
一颗芯片的成本
芯片解密
一个逗逼的科研狗是如何成为诺贝尔奖得主的
从沙子到芯片10张图解析处理器制造全过程
遥望5nm:FinFET大热接班人水平GAA初探
英特尔10nm技术震撼亮相,遥遥领先竞争对手
此人突然回国,美日慌了!让中国不再受制于人!
版图识别原则
半导体,一万年也要搞出来–中国的芯片设计产业
三星7nm工艺揭秘,摩尔定律还能继续
关于半导体工艺节点演变,看这一篇就够了
未来世界芯片将无处不在
微电子新生入坑指南
终于有人讲透了芯片是什么
集成电路史上最著名的10个人
晶圆代工争霸战四部曲(了解各晶圆厂的前世今生)
芯片光刻的流程详解
干货:简析芯片反向设计流程
再见,SPARC处理器!再见,SUN!
终于有人把CMOS、SOI和FinFET技术史梳理清楚了
梁孟松抵达中芯国际,中国晶圆代工开启新时代
IntelCPU发展简史
从世界上第一块集成电路开始
一文看懂3D晶体管
一个芯片从构想到完成电路设计的过程是怎样的?

芯光灿烂

APR开课通知:招募中
Verilog系列:【23】VCD内部解剖
文本消息_1537502469
重要通知
Verilog系列:【22】逻辑强度模型(LogicStrengthModel)
物理综合基本面试系列【15】检验一下自己的DC、PT、DFT、ICC水平
Verilog系列:【21】线网类型知多少
物理综合基本面试系列【14】Timing_analysis
Verilog系列:【20】使用枚举类型表示状态机进入死循环
物理综合基本面试系列【13】Compile
Verilog系列:【19】奇数分频器
物理综合基本面试系列【12】Timing_budgeting
Verilog系列:【18】参数三姐妹-parameter-local-specparam
PT开课,5月20日(周日)不见不散!
面试系列物理综合【11】Coding_for_synthesis
Verilog系列:【17】阻塞赋值与非阻塞赋值
【原创合集】芯光灿烂干货分享!
面试系列物理综合【10】Timing_borrow
Verilog系列:【16】惯性延迟和传输延迟
常用集成电路名词缩写汇总(第二版)
面试系列物理综合【9】Partition
Verilog系列:【15】鸠占鹊巢
面试系列物理综合【8】关于wire_load
面试系列RTL基本知识【5】快速填充矢量
芯光灿烂集成电路学习群建群通知
面试系列物理综合【7】Synopsys_dc.setup
面试系列RTL基本知识【4】FSM在面试题中的应用_串行序列监测器
集成电路基础测试题(有更新,请关注)
面试系列:物理综合【6】Setup&Hold
面试系列:RTL基本知识【3】Verilog常见错误
重要:关于DC、PT开课情况说明
集成电路基础测试题
面试系列:物理综合【5】关于PV
常用集成电路名词缩写汇总
DC开课通知:招募中
PT开课通知:招募中
面试系列:RTL基本知识【2】关于disablefork的误解
面试系列:物理综合【4】timing_path&path_group
芯光灿烂给大家拜年,感谢各位的关注及支持!
面试系列:RTL基本知识【1】关于coverage
面试系列物理综合【3】关于insert_scan
芯光灿烂微信公众号福利推广(截至20180201)
面试系列:可测性设计【5】关于Rombist
精品课件可测性设计【8】
精品课件物理综合【10】
Verilog系列:【13】task和function
等我做半导体发财了,就和你离婚!(转载)
面试系列:可测性设计【4】关于MemoryModeling0119
精品课件可测性设计【7】
精品课件物理综合【9】
Verilog系列:【12】如何正确在敏感信号列表中包含function中的信号
芯光灿烂微信公众号号福利推广(截至20180201)
精品课件可测性设计【6】
精品课件物理综合【8】
Verilog系列:【11】敏感信号列表中的数组
面试系列可测性设计【3】关于测试覆盖率
精品课件可测性设计【5】
精品课件物理综合【7】
Verilog系列:【10】转义名的前世今生
面试系列物理设计【1】关于UDSM后端设计总结
精品课件可测性设计【4】
精品课件物理综合【5】
Verilog系列:【9】编译命令指定隐性线网类型
面试系列物理综合【2】关于multicycle
精品课件可测性设计【3】
Verilog系列【8】线网或变量宽度与端口宽度不匹配
IC女汉子长成记
可测性设计基本面试系列【1】推荐答案(下)
可测性设计精品课件【2】
物理综合精品课件【4】
Verilog系列:【7】1bit宽的未声明线网
物理综合、可测性设计课程重要通知1201
可测性设计基本面试系列【1】推荐答案(上)
物理综合精品课件【3】
可测性设计精品课件_综述【1】
Verilog系列:【6】线网的隐性声明
DCDFT开课招募20171124
物理综合基本面试系列【1】推荐答案
物理综合精品课件【2】
可测性设计基本面试系列【1】
[Verilog系列:【5】`ifdef和generate的差异](http://mp.weixin.qq.com/s?__biz=MzUxMjM5NTg0Ng==&mid=2247484366&idx=5&sn=c13bf3acb89ec78c183ade9beed706c4&chksm=f9645ce7ce13d5f1f1e4f1a5953af731504a8b1cac8aff3795865da21858c486b64d71645caf&scene=27#wechat_redirect)
芯光灿烂更新说明20171117
DCDFT开课招募通知
物理综合精品课件【1】
物理综合面试精选【1】
Verilog系列:【4】initial和always
扎心了!24幅让IC工程师内流满面的图片(转载)
芯光灿烂上线通知和说明
Verilog系列:【1】关于$test$plusargs和$value$plusargs的总结
Verilog系列:【2】关于generate用法的总结
Verilog系列:【3】Verilog中文件的IO操作

跟IC君一起学习集成电路

快无止境——并行接口SRAM
时钟分频系列——分数分频电路的Verilog实现
时钟分频系列——偶数分频奇数分频分数分频
中秋快乐!这款芯片有搞头吗?
Verdi使用技巧——搜索查找
利用systemVerilog生成任意CRC多项式
Verdi使用技巧——非连续有效信号量测方法
Verdi使用技巧——连续有效信号量测方法
数模混合仿真实例(数字verilog作为顶层)VCS+Xa
为什么NAND闪存会越来越便宜
CRC算法的硬件电路实现:串行电路和并行电路
想知道数据传输的正确性?CRC算法来检查
IC面试中常被问到:跨时钟域信号处理——握手协议(handshake)
收尾篇:verilog验证二分法查找
不说废话,直接给出verilog代码for二分法查找
二进制搜索算法(二分法查找)在实际电路中的应用
静态时序分析和动态时序分析的比较和转换
IC面试中常被问到——跨时钟域信号如何处理?
这些年身边跳槽的IC工程师
当微信群聊不能满足我们的要求的时候,试一试知识星球
从低速Flash到高速Dram,输出电路设计的不同点
芯上的压怎么产
如何做一个”失败”的IC项目
如何减少IC设计和验证的仿真时间
不可忽视的verilog零延迟(IC君给您拜年)
文本消息_1518681808
tcl脚本处理文本的一个小坑
当芯片tapeout之后,测试工程师会干什么
简单快速的总线协议——SPI
原来这才是面试官眼中合格的数字IC工程师
分享一个免费的工艺库(IC自学设计必备)~福利继续发
2017微信文章总结(文末有福利)
IC设计中噪声noise现象的学习(二)——抑制和消除
IC设计中噪声noise现象的学习(一)
通向彼岸的桥梁LevelShift电压电平转换器
聊一聊tapeout失败的事
简单优雅的总线协议——I2C
如何阅读datasheet
快tapeout了,发现bug怎么办?
新入职IC工程师必备技能——数字前端篇
精品公众号推荐
炎炎夏日,芯片也会中暑?
Tcl脚本在IC设计中的应用
新入职IC工程师必备技能——数模混合篇
一个前端数字IC工程师的一天
芯片如人,携原罪而生
轻松愉快学习SRAM(静态随机存取存储器)
我的天,bug越改越多?
Verilog代码浅析之不可综合
端午节快乐——新栏目预告IC读书会
你不可不知的验证方法
Verilog代码浅析之可综合
一个数模混合设计工程师的日常一天

路科验证

从Matlab被禁来看,给IC教育带来哪些启发?
福利来啦!实力宠粉IC大吉大利秋招突击营限时领取
福利第二弹!学员专享秋招社群等着你
路科新芯讯英特尔折叠屏CPU&高通机器人平台RB5&华米发布“黄山2号”&美允许华为合作制定5G标准&芯片设计民营企业10强
夏至验证知识卡片墙
芯片毕业生站上C位
你知道用于AMBA协议的“CheckerIP”是如何构建的吗
路科新芯讯英特尔AMT漏洞&哈工大、哈工程被禁用MATLAB&苹果电脑自研Arm芯片&索尼PS5正式官宣&4英寸碳化硅量产
收藏电子通信协议之I2C通信协议篇
震惊!年轻大学生被毒打,浪子回头为哪般
路科新芯讯台积电汽车7nm制程&长江存储固态硬盘将上市&寒武纪科创板IPO过会&传MTK高管加盟OPPO
芒种验证知识卡片墙
互联网不配有性生活,难道ICer就配吗?
学员追访17年硕DFT,尝试其他行业均失败最终转行验证
【路科B站科普】苹果高通Intel华为,科技巨头们的基带芯片大逃杀
路科新芯讯ARM全新CPU&三星Exynos880&高通Wi-Fi6E&展锐物联网&区块链&英国“D10”研发5G
收藏电子通信协议之UART通信协议篇
路科新芯讯联发科天玑820&海思XR芯片平台&北斗兼容芯片销量破1亿&三星援建西安芯片&百度飞桨适配MediaTek
收藏电子通信协议之SPI通信协议篇
谁来帮助华为:三大赛道的崛起与困境
小满验证知识卡片墙
路科新芯讯英伟达AIGPU&台积电赴美建厂&索尼AI传感器&高通5G新成员&英特尔投资中国半导体公司
学员追访国企做FPGA被迫学RTL验证,彩虹糖契机下从V2开始入“鹿”门
如何提高验证组件的垂直复用、水平复用和平台复用?
路科新芯讯高通骁龙875首曝光&联发科发布天玑1000+&华为发力汽车市场&海思收入杀进TOP10&中芯国际拟科创板上市
想知道硬件验证的发展历程吗?
立夏验证知识卡片墙
路科新芯讯初创企业arm开发&华为与意法半导体合作&中科蓝讯签约平头哥&比亚迪八英寸开工&大基金项目签署
验证工程师是如何演变的呢?
学员追访本科毕业六年做过PCB与FPGA抓住机会转行验证
相约今晚8点2020疫情下的IC实习求职现状
相约周五晚8点2020疫情下的IC实习求职现状
本周六验证V1夏季班就要开班咯!你准备好了吗?
想快速了解并绘制验证框架结构图吗?快来试试这两款工具吧
今年仅一期的验证V1夏季班就要开,啥时候开?本周六开!
路科新芯讯“麒麟985”亮相&高通、京东方战略合作&比亚迪半导体欲上市&三星实现8.5Gbps传输&谷歌自研手机处理器将面世
谷雨验证知识卡片墙
如何快速且优雅地绘制时序图?WaveDrom的优势与快速上手
路科验证V1课程开班在即!早鸟低价优惠倒计时4天!
路科新芯讯新思ARC处理器IP&达摩院车载ISP处理器&长江存储3DNAND&电信在美许可或撤销&传苹果正收购NextVR
倒计时7天!4月25日V1开班!早鸟限时低价进行时!
学员追访军工企业做传感器一年裸辞找工作最后定下IC验证
学习不应只是一个人的苦战,验证V1夏季班4月25日开班等你加入
分层协议验证还需创建新的环境组件?不!引入UVM序列项才是EasyWay
今年仅一期的路科验证V1夏季班4月25日要开班啦!
路科新芯讯Facebook获Plessey授权&半导体行业受疫情影响严重&半导体创业公司融资简报
清明验证知识卡片墙节气福利送路桑特选书
如何让复杂的SoC设计交付变得更容易、更可预测?
今年只开一期,路科验证V1夏季班将在4月25日开班!
路科新芯讯华为P40正式发布&高通全新耳机芯片&腾讯站位“造芯”&RISC-V总部迁至瑞士&寒武纪上市获批
为什么说SV宏是SystemVerilog最强大的功能之一?
路科新芯讯AMDRyzen处理器&MarvellThunderX3&Intel神经拟态芯片&四维图新&诺基亚5G手机
Duang~要揭晓春分时节路桑特选书的获奖名单啦!
春分验证知识卡片墙节气福利送路桑特选书
新课发布升级后的V2Pro相比于旧版V2的优化逻辑是什么?
开源处理器:既定现实还是美好愿景?
收藏篇24堂芯片设计在线课程,从小白到资深工程师的进阶之路!
路科新芯讯麒麟A1投入使用&工信部约谈特斯拉&日本半导体在韩设厂&“大基金”实质投资
学员追访工作将满四年但却一直在打杂,验证这个职业基本都能容纳下自己的知识且更成体系化
彩虹糖带你入门UVM第8节UVM基础之AnalysisPort和AnalysisFIFO
路科新芯讯AMD推新GPU&诺基亚芯片布局&华为砍单5G手机&额温枪引爆MCU厂
PSS模型如何被验证,又该由谁来创建该模型?
凡事预则立,不预则废!机会总是青睐有准备的人!
路科新芯讯华为发布MateXs&展锐5GSoCT7520&长鑫存储核心DRAM&芯片设计公司快讯
为什么说FPGA设计更难取舍权衡了
今晚9点,来听路桑直播答疑V0SV实验
路科新芯讯小米GaN快充&京东方独供MateXs屏幕&春藤510今年商用&含光800细节曝光&因疫情内存涨价?
V2第5期开学致辞学习是一个社交和提问的过程
V2春季班开学典礼将于今晚8点举行,扫码即可进入直播间观看~
相约今晚8点【卤煮验证】第1期直播那些转岗验证的人是怎么做到的
验证V2课程就要开,啥时候开?本周日开!
获取验证通关密语,就在本周日开班的验证V2课程
路科新芯讯ARM推新&Wi-Fi6E首发&华为芯片显示器&MWC因疫情取消&企业复工快报
【卤煮验证】第1期直播那些转岗验证的人是怎么做到的
希望你的希望不再只是希望,验证V2课程本周日开班
距离V2春季班报名优惠截止还有1天
可执行需求文档可以转换为验证模型或设计代码吗?
验证V2春季班限时低价距离结束仅剩3天
2020年路科验证V2春季班早鸟限时低价进行时倒计时4天!
2020年路科验证V2春季班早鸟限时低价
路科新芯讯美光量产DDR5&欧盟不禁华为5G&HelioG80&多公司取消MWC之行&英特尔转入Habanalabs
学员追访在读前沿器件方向放弃读博转验证签约海思
路科freecourses系列
SystemVerilog如何支持使用参数化类编写通用代码的模板?
今晚8点,跟着路桑学SystemVerilog
蛄蛹一时爽,一直学习一直爽,来听路桑直播指导V0SV实验
duang~除夕夜倒计时!路科真爱粉儿的年终大奖也来啦!
学员追访FPGA转验证的契机下从EETOP开始入“鹿”门
如何有效而正确的使用继承和多态性?
2020,来自路科的新年计划
芯片验证V2春季班报名开始!
路科新芯讯CES2020落幕&美AI软件出口管制&中芯量产14nm&国产CAD兼容本土CPU
为什么需要硬件加速来验证深度学习设计?
路科新芯讯海力士4D闪存&博世进军激光雷达&苹果与Imagination续缘&概伦收购博达微&海思芯片外供
一窥Memory测试算法及自我修复机制
路科新芯讯龙芯自研处理器&工信部5G部署&MicroLED落户无锡&鲲鹏主板&中芯开曼协议
到底该如何智能跟踪SoC验证进度?
路科新芯讯GTCChina2019&澜起DDR5&新思武汉研发中心&面板大涨&HABANA加入英特尔
学员追访电信本科毕业一年裸辞转IC验证
阻碍高层次综合设计方法学(HLS)推广的因素这么多,该从何处突破?
路科新芯讯RISC-V峰会&昆仑云&燧原AI芯片&台积电5nm&思科出售芯片
形式化分析如何完善你的仿真约束
想从覆盖率闭合的泥潭中被解救吗?
给自己个备胎:从0到1打造多元化收入
路科新芯讯高通骁龙865&亚马逊推芯&Intel计购AI公司&汇顶收购NXP语音业务
彩虹糖带你入门UVM第7节UVM基础之SequenceItemPort——彩虹糖工厂的物流管道
学员追访电气转数字IC验证后加入联发科
路科新芯讯RISC-V总部逃离美国&OPPO自研造芯&复旦成立IC一级学科
UVM寄存器模型难学,那更难的层次寄存器还敢不敢挑战?
当工程师说class时,到底在说什么?
路科新芯讯威盛X86&半导体供应商15强&华为简讯
想要快速学习SystemVerilog断言吗?简化了的SVA请了解一下
十大ICCAD2019内地十大IC设计企业出炉,快来猜猜有无你司?
你以为SoC设计集成一直在加速,那么系统级验证也可如此吗?
路科新芯讯IntelVPU&寒武纪边缘AI芯片&华为禁令DDL
UVMRAL模型:用法和应用
校招&社招西安科创板芯片“第一股”—澜起科技
路科新芯讯来康康这周IC圈发生了什么?
文本消息_1573395872
4小时带你了解芯片验证,这门脱胎于一流大学课堂的验证V0课程免费上线
彩虹糖带你入门UVM第6节UVM基础之factory机制——彩虹糖工厂的自动化
路科新芯讯来康康上周IC圈发生了什么?
完美的验证策略并不存在,但我们还是能谈谈如何优化
V1冬季班,本周日即将开班
开源EDA究竟能否成功?
自动生成PSS的实作级序列(下)
自动生成PSS的实作级序列(上)
DVConChina2020论文摘要征集仍在继续!
IC验证就业很火吗?可你这么好的学历为什么缺一个入岗的机会?
为什么很多人对SoC系统级验证感到慌张?来看这一套规范流程
活动消息SiFiveChinaTechDay西安站(10.30)期待你的到来!~
11月V1早鸟限时低价,拼团更有神秘优惠
保护膝盖不用跪求,DVCon2017-2018论文合集我们都给你
随机激励给正确约束就可以了?还没完!错误输入也要来加戏
路科验证V1冬季班,2019年11月03日开班!
人才告急警报!30w+还送股送房?60+家IC企业2019应届薪资全面攀升!快点进来看!
10月西安线下验证就业课,2周免费试听,解决跨专业烦恼
互联网公司造芯——我应该去吗?
DVCon2020摘要提交即将截止,教你如何投递2页摘要
路科验证实习生-路桑老师亲带的实习生招聘!
我们准备做第二期线下培训,依旧认真且严肃
DVConChina2020论文摘要征集!
[彩虹糖带你入门UVM]第5节UVM基础之寄存器模型——彩虹糖工厂的中控室
喜讯红宝书获得中国工信出版传媒集团2019优秀出版物
路桑在2020届DVCon设计验证大会的又一次欢迎辞
V2第4期开学致辞那些认真起来的年轻人
明日开班芯片验证V2课程(支持分期)
今晚直播验证50万薪资技能树+职业规划
本周日开班芯片验证V2课程(支持分期)
集成电路设计从业者的生活现状如何?
2019西电验证课程秋季开课通知
免费公开课那个验证薪资是我2倍的人,究竟比我多了什么能力?!
下周日开班芯片验证V2课程(支持分期)
SV与UVM接口应用篇之七:将Matlab算法模型嵌入UVM环境
学员追访机会是留给有准备的人的,希望我和你们以及路科验证,一起,不断向前
想成为月薪20K的验证工程师,会有多难?
学员追访忙忙碌碌的一年,我过的很充实,也在努力成为一个合格的验证工程师
由路桑主讲的《面试如何通关》,将在今晚8点于腾讯课堂直播,同学们快来扫码报名啦!
【七夕今晚能来听课的是真(dan)爱(shen)】由路桑主讲的《验证岗位介绍》,将在今晚8点于腾讯课堂直播,扫码来相会~
由路桑主讲的《验证岗位介绍》,将在明晚8点于腾讯课堂直播,同学们快来扫码报名啦!
IC秋招吉利系列课程之《设计岗位介绍》,将在今晚8点于腾讯课堂直播,同学们快来扫码报名啦!
加入我们,一起影响更多从事IC开发的人
IC秋招吉利之《设计岗位介绍》,将在明晚8点直播
IC秋招吉利系列课程之《后端岗位介绍》,将在今晚8点于腾讯课堂直播,同学们快来扫码报名啦!
SCANChain测试的基础入门
IC秋招吉利之《DFT岗位介绍》,将在今晚8点直播
IC秋招吉利系列课程之《简历如何达标》,将在今晚8点于腾讯课堂直播,同学们快来扫码报名啦!
你是否了解MBIST测试的对象
IC秋招吉利系列课程之《简历如何达标》,将在本周三晚8点于腾讯课堂直播,同学们快来扫码报名啦!
除了帮你变强,我们还能让你的公司拥抱验证
IC大吉大利秋招突击营
2019IC大吉大利秋招突击营,免费入营机会就在眼前!!
也许我们知道即将求职的你需要什么,只是…
西安线下技术沙龙ARM芯片低功耗
[2018功能验证研究-终]FPGA验证技术及语言趋势
IC“大”年——IC报到生,路桑想对你说
科技自立芯片转正——如果能再给我们10年该多好?
美突然下手,华为备胎芯片一夜转“正”
学员追访选择自己要走的路,选择一位信任的导师
SV与UVM接口应用篇之六:开辟后台C服务线程
[2018功能验证研究-中]FPGA设计验证趋势
[2018功能验证研究-上]FPGA设计趋势
学员追访杨瑒:工作愉快的关键在于先选择好的方法再去应用它
[彩虹糖带你入门UVM]第4节UVM基础之VirtualSequence和Configuration——彩虹糖出新口味啦
路科免费公开课直播芯片验证小白求生手册!
[彩虹糖带你入门UVM]第3节验证组件之Environment——彩虹糖工厂的全貌
V1验证班[单人]砍价速购,学习不用等!
来自现场DVCon2019中国大会的一天都发生了什么
芯片前沿云端芯片功耗问题日益严重
DVConChina2019大会日程已上线!
DVConChina2019主题演讲都在关注如何解决验证急增的复杂度
V1验证班底价拼团,现购现学不用等!
FPGA测试环境转型篇之一:为什么需要转型?
0经验却想进入IC行业的你,最好的选择是…
裁人热潮俺被炒了是为啥?
倒计时DVConChina注册优惠末班车已启动!
这究竟属于下一代验证的方法、语言还是工具?
这所大学的微电子专业培养方案如何?
FPGA验证的挑战与机遇
知乎好文我的劝退之总结篇
V2验证班已开班,仅剩3席!
路桑:验证V2课程开学致辞
V2验证班明日开班,仅剩7席!
文本消息_1550641347
终于等到与路桑线下见面的机会,想见他一定来!
路科免费公开课直播从小白到年薪50万的验证之路!
[彩虹糖带你入门UVM]第2节验证组件之Agent——彩虹糖工厂的小车间
文本消息_1550222184
感谢过去一年的不离不弃,红包和红宝书我们全送
惊心这份互联网裁员LIST,不满年终奖的ICer要不再等等?
DVCon中国2019大会日程单曝光了,看起来很好吃的样子
基于UVM验证RISC-V处理器-黄金预测模型和配置层
[彩虹糖带你入门UVM]第1节验证组件之接口
IC人,您的2018年工作账单已生成,请领取
难道想开酒吧的就只有马云一个平头哥吗?
我们做FPGA测试的,要转验证还有机会吗?机会很大!
年终奖即将到手,这个寒冬是抱团取暖还是果敢跳槽
如果罗胖解决不了你的知识焦虑,那请看这一份IC圈的焦虑自救指南
[IC小麋鹿如何不迷路?]VerilogSV中的编程陷阱(1)
招聘加入Qualcomm,引领无线通信变革
二胎未生,程序员已死
只需三步,路科教你写出超棒的文章
[彩虹糖带你入门UVM]第0节概述
每次看复杂断言都在考验阅读理解能力,还有没有其它解药?
那些对2018年验证方法学和工具的预测都发生了吗?
2019验证VIP春季班早鸟报名通道扫码报名
如何实现数据流设计的参考模型?
[西安线下IC交流]ZionTalk第二期12月6日
重磅!ICChina2018即将截止报名,你还等什么?
Verification3.0你准备好了么?
并行化仿真为何如此难以实现?
理解UVM-1.2到IEEE1800.2标准的变化,掌握这3点就够了
UVM寄存器模型这么难学,有没有更容易点的?
没想到,双十一只花10块钱,我竟然爱上了加班…
看完今年DVCon的论文摘要,我竟然高潮了
为什么要用类来做UVM的通信事务?
文本消息_1541474787
[DVCon论文系列]利用机器学习算法优化随机测试约束
验证(Verification)与验实(Validation)将何去何从?
IC高级工程会议——DVCon中国2019欢迎您的论文投稿!
EDA与云平台
什么,手把手教你写摘要还发奖品给现金!2019DVCon震撼来袭!
实锤!30W+!2018芯片校招薪资比肩互联网!
设计自动化大会的5个主题演讲
云上云下的机器学习已分道扬镳
文本消息_1537970778
当前的功耗验证为什么让人不满意?
路桑的中秋福利——免费教你学验证
人工智能和机器学习让验证更快更智能
联发科技2019校园招聘行程预告
IP行业增长这么快能出来解释一下吗?
逃逸的漏洞
路桑在2019届DVCon设计验证大会的欢迎辞
解读《多线程向量处理器验证技术的研究》
AccelleraPortableStimulusStandard准备好了么?
9月1日验证实战就业班,最后10个学位在等你!
击败清华、复旦的研电赛特等奖作品都做了什么?
“兆易创新杯”第十三届中国研究生电子设计竞赛全国总决赛圆满闭幕
“兆易创新“杯第十三届中国研究生电子设计竞赛全国总决赛获奖名单公布
没错!这样的作品才能拿创“芯”大赛特等奖!
七夕节,ICer特有的表白方式!
特等奖流片+赴硅谷交流!现场设计6个小时的创“芯”大赛都考什么?
你的专属红宝书已上线!
AI时代:软件已经起飞,硬件还在路上?
周末粉丝福利时间
路科IC验证培训(线上&线下)九月澎湃将至!
路科9月上海南京开班,回复“培训”获取详情
好啦!你们要的路科公开课都在这里啦!
我敢说能做好验证IP的verifier是验证顾问的不二人选
天哪!竟然还有UVM寄存器模型的隐藏剧情
加入NVIDIA,开始AI之旅
知乎在走向狂欢,下一个微博即将诞生?
时钟问题知道多少?
我不会告诉你,SynopsysARC杯决赛到底有多精彩!
西安电子科技大学2018年“微电之光”——全国集成电路行业工程技能实训暑期开放训练营
有了大数据,覆盖率就能收敛了吗
ICisC“工程师进校园”活动——路科走进南航
太难了,功耗分析这道题我不会做!!
解决系统验证复杂性难题恐怕只有它了
路科线下精品验证课程(第二期)
我明明学了那么多,你却说我不合格?
形式验证能像仿真验证一样有勇气签字画押吗?
Verification和Validation傻傻分不清楚?面经重点!
小米上市,奔着1000亿美元市值而去
验证小白和offer之间就差这一次约会
EDA,该如何做这困兽之斗
解决系统性功耗问题的EDA工具还是没能出现
模拟器入局功耗分析所带来的新气象
路科验证新书的magic,你真的准备好了吗?
高通喊你来实习啦!
破局”中国芯“
中兴所面临着的巨大的生存危机,所有人都应该铭记!
验证人的撒欢儿一年就这么一次,今天DVCon怎么能不走心
我跟你谈SV接口类,你却以为我跟你谈接口?
成盒数百次,我竟发现了吃鸡的真相……
验证了一千零一次,还是喜欢你
再不重视功耗,客户可真得要掀桌子了
不要做被“抛弃论”绑架的年轻人
如果你还在漂着,不妨考虑一下这个机会
豆瓣9.1《头号玩家》:程序员活得体面有多难……
为什么UVM学起来这么难?
文本消息_1521764182
文本消息_1521675561
文本消息_1521605134
文本消息_1521535178
敏捷开发(Agile)在硬件中的应用
Verifier们快到碗里来,DVCon中国大会即将召开
文本消息_1520141217
如果微电子不相信眼泪,那你是否会爱上验证?
验证背锅是一种宿命
高层可综合(HLS)策略在将来对ICer是福是祸?
文本消息_1517848644
OOP(面向对象)的硬件设计思路就够头疼了,还搞什么AOP?
你敢说调试不是胸口永远的痛?!
升级IO控制组件的九个小时我都做了什么
不解风情的ICers都是皈依佛系的种子选手
文本消息_1514200298
在某论坛下疯狂刷屏的1024,你知道究竟是什么意思吗?
在祭出仿真器原生并行仿真前,我们来看看群众的智慧是如何实现并行仿真的
安全防护与信息加密:一个新的挑战
功能覆盖率如何稳固IP的开发和集成?
当路桑上课的时候,他到底在讲什么?
文本消息_1510841487
PowerDomain简介
你口口声声说你是Verifier,却不晓得这个年度盛会?
Verifier究竟算不算程序员?
如果仿真搞不定,那么模拟就一定行吗?
大象终于要被装进冰箱了,学会SV也只差一步。完结撒花!
至今还没有把大象装进冰箱,但是学会SV只剩两步了
第十八章SV及UVM高级话题篇(合辑)
距离模拟器称霸而你失业的那一天还有多远?
是什么样的面试经验,可以让这帮90后握着一沓IC牛企的Offer批奏折呢?
如果早知道这些IC面试题,我就可以跟Sir们谈笑风生了!
面对这份2017年的IC应届薪资表,我真想再毕业一次!
SV及UVM高级话题篇之五(终):OVM与UVM的混合仿真
把大象装进冰箱要几步?不清楚,但是学会SV验证只剩3步了!
我以为我会哭但是我没有
谁说ICer不需要大保健?
SV及UVM高级话题篇之四:OVM到UVM的移植
把大象装进冰箱要几步?不清楚,但是学会SV验证可能还需4步!
终于等到西安高校可以听现场的IC基础验证课,找工作就靠它!
SV及UVM高级话题篇之三:SV单元测试方法SVUnit
把大象装进冰箱要几步?不清楚,但是学会SV验证可能还需5步!
什么?你也不知道这么基础的知识点
小妹,你要做Verifier吗?
SV及UVM高级话题篇之二:SystemVerilog开源公共库(下)
把大象装进冰箱要几步?我不清楚,但我知道学会SV验证只要6步!
SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)
这些星座的Verifier有病,但却贡献了炒鸡代码
即使拥有整个验证世界,它们都只是一座座孤岛
绝对不容错过,路科教你零基础入门验证
第十七章SV及UVM接口应用篇(合辑)
Emulation一出手,整个世界都要为之惊艳
SV及UVM接口应用篇之五(终):脚本语言与UVM的交互
低功耗技术浅谈(一)
SV及UVM接口应用篇之四:Matlab及Simulink模型与UVM的混合仿真
SV及UVM接口应用篇之三:SystemC与UVM的TLM通信
SV及UVM接口应用篇之二:DPI接口和C测试(下)
女verifier成长日记(一)小白初入坑
SV及UVM接口应用篇之一:DPI接口和C测试(上)
功耗验证早已不再跑龙套了!
第十四章UVM寄存器篇(合辑)
UVM寄存器篇八:寄存器模型的场景应用(终)
如何选择验证引擎
UVM寄存器篇之七:寄存器模型的常规方法(下)
UVM寄存器篇之六:寄存器模型的常规方法(上)
UVM寄存器篇之五:寄存器模型的集成(下)
UVM寄存器篇之四:寄存器模型的集成(中)
第十六章跨平台移植复用篇(合辑)
UVM寄存器篇之三:寄存器模型的集成(上)
UVM寄存器篇之二:寄存器模型概览(下)
UVM寄存器篇之一:寄存器模型概览(上)
跨平台移植复用篇之三(终):跨平台的验证结构考量
文本消息_1499432606
如何使用virtualsequence和virtualsequencer?
跨平台移植复用篇之二:PSS工具集概览
跨平台移植复用篇之一:PortableStimulusStandard
VR会是下一个科技爆品吗?
第十五章验证平台自动化篇(合辑)
验证平台自动化篇之四(终):如何定制一款TB自动化工具?(下)
验证平台自动化篇之三:如何定制一款TB自动化工具?(上)
验证平台自动化篇之二:UVMFramework
验证流程中的缺口
验证平台自动化篇之一:你为什么需要一款代码生成器?
第十三章UVM序列篇(合辑)
UVM序列篇之八(终):sequence的层次化(下)
为什么我热爱这份验证顾问的工作?
UVM序列篇之七:sequence的层次化(上)
UVM验证平台的加速考量
UVM序列篇之六:sequencer和sequence(下)
UVM序列篇之五:sequencer和sequence(上)
UVM序列篇之四:sequencer和driver
UVM序列篇之三:sequence和item(下)
想和互联网媒体们聊聊天
UVM代码生成器的开发
UVM序列篇之二:sequence和item(上)
UVM序列篇之一:新手上路
第十二章UVM通信篇(合辑)
集成级测试平台的UVM寄存器模型
UVM通信篇之七(终):同步通信元件(下)
UVM通信篇之六:同步通信元件(上)
UVM通信篇之五:TLM2通信
半导体专业留学海外指南(1):专业方向选择
听说,女生喜欢嫁程序员
UVM通信篇之四:通信管道应用
UVM通信篇之三:单向、双向及多向通信(下)
UVM通信篇之二:单向、双向及多向通信(上)
UVM通信篇之一:TLM通信概论
文本消息_1493485134
第十一章UVM结构篇(合辑)
UVM结构篇之四(终):构建环境的内经
大咖怎么说之人工智能
花开堪折直须折——DVCon上海的采花记(高清无码)
欺骗你的覆盖率(下)
欺骗你的覆盖率(上)
UVM结构篇之三:把DUT装进TB分几步?(下)
UVM结构篇之二:把DUT装进TB分几步?(上)
文本消息_1492145535
形式验证sign-off(下)
形式验证sign-off(上)
UVM结构篇之一:组件家族
高度可配置参数化UVMIP验证环境
你得和比你优秀的人交往
第十章UVM世界观篇(合辑)
UVM世界观篇之十三(终):宏的优劣探讨
SystemVerilog通用程序库(下)
SystemVerilog通用程序库(上)
UVM世界观篇之十二:消息管理(下)
UVM世界观篇之十一:消息管理(上)
一名打了鸡血的Verifier
文本消息_1490412491
生成唯一覆盖类,以启用有意义的覆盖组
松果处理器的前前世世(下)
松果处理器的前前世世(上)
UVM世界观篇之十:config机制(下)
UVM世界观篇之九:config机制(上)
Doater,听说你也爱敲代码
探寻X-Optimism相关的RTLbug(下)
探寻X-Optimism相关的RTLbug(上)
UVM世界观篇之八:phase机制(下)
UVM世界观篇之七:phase机制(上)
相爱相杀排行榜,程序员亮了!
文本消息_1489196306
混合电路设计的数字化验证
Verifier们,我们走!
UVM世界观篇之六:核心基类(下)
UVM世界观篇之五:核心基类(上)
UVM验证方法在系统级别验证中的应用
验证常用脚本语言介绍
UVM世界观篇之四:工厂机制(下)
UVM世界观篇之三:工厂机制(上)
文本消息_1487905522
第九章SV系统集成篇(合辑)
基于UVM的结构以及使用脚本自动生成TB
UVM世界观篇之二:类库地图
UVM世界观篇之一:我们所处的验证时代
多种语言混合验证解决方案
SV系统集成篇之六(终):初论环境的复用性
SV系统集成篇之五:灵活化的配置
SV系统集成篇之四:测试场景的生成(下)
SV系统集成篇之三:测试场景的生成(上)
SV断言在测试激励中的应用
文本消息_1485532418
SV系统集成篇之二:验证环境的组装
SV系统集成篇之一:包的意义
第八章SV组件实现篇(合辑)
比起精神医生,我们更懂我们自己
UVM验证环境中使用Matlab-Simulink模型进行协同仿真
SV组件实现篇之十三(终):测试环境的报告规范
一种SoC连接性验证方法
一种SoC寄存器映射验证方法
SV组件实现篇之十二:比较器和参考模型(下)
SV组件实现篇之十一:比较器和参考模型(上)
多域验证:(电源域,时钟域,复位域)
SV组件实现篇之十:组件间的通信(下)
SV组件实现篇之九:组件间的通信(上)
共享在SystemVerilog中的通用库让编程更有趣
验证环境的自动化生成
SV组件实现篇之八:监测器的采样(下)
SV组件实现篇之七:监测器的采样(上)
浅谈SystemVerilog与UVM标准的发展(下)
浅谈SystemVerilog与UVM标准的发展(上)
简化的UVM——编码指南以及代码自动生成
功率估算技术——什么是期待的,什么是不期待的
SV组件实现篇之六:激励器的随机化(下)
SV组件实现篇之五:激励器的随机化(上)
SV组件实现篇之四:激励器的封装(下)
IC硕士薪资及面试经验(2017)
SV组件实现篇之三:激励器的封装(上)
SV组件实现篇之二:激励器的驱动(下)
SV组件实现篇之一:激励器的驱动(上)
第七章SV环境构建篇(合辑)
第六章验证的结构篇(合辑)
SV环境构建篇之五(终):测试的始终
SV环境构建篇之四:程序和模块
一种有效的验证管理系统
SVInterface入门指导
IC验证工程师必读的10本书
第五章验证的管理篇(合辑)
SV环境构建篇之三:接口
SV环境构建篇之二:模块定义与例化
UVM配置数据库参数随机化
SV环境构建篇之一:数据类型
第四章验证的计划篇(合辑)
验证的结构篇之六(终):验证结构
多功能UVM记分板
验证系统平台的发展趋势
验证的结构篇之五:比较器(checker)
第三章验证的方法篇(合辑)
验证的结构篇之四:监测器(Monitor)
用于CSystemC的可移植的激励模型
高级UVM寄存器建模
验证的结构篇之三:激励发生器(Stimulator)
第二章验证的策略篇(合辑)
验证的结构篇之二:硬件设计描述
通过可复用的随机策略类实现SystemVerilog约束分层
UVM:灵活性的价值
验证的结构篇之一:测试平台概述
第一章芯片验证全视(合辑)
验证的管理篇之七(终):验证的专业化
UVM在模拟电路的应用
factory:实用的UVM机制
验证的管理篇之六:验证师的培养
这恐怕是你距离涨薪最近的一次距离了
验证的管理篇之五:团队建设
为什么我的SystemVerilog测试平台如此慢?
缩短测试激励仿真时间的一种方法
验证的管理篇之四:让漏洞无处可逃
验证的管理篇之三:验证的收敛
虚拟测试:在SystemVerilog测试平台中仿真ATE矢量来加快产品上市
验证的管理篇之二:验证管理的三要素
验证的管理篇之一:验证周期的检查清单
验证的计划篇之四(终):计划的进程评估
浅谈逻辑仿真,形式验证及硬件仿真
串并行接口的参数化可复用抖动(Jitter)模型
验证的计划篇之三:计划的实现
验证的计划篇之二:计划的内容
哪一种验证方法最好?形式验证、硬件加速还是动态仿真?
验证的计划篇之一:计划的概述
还没有RTL?Soeasy!验证仍然可以Go!
回归测试可以变得更聪明一些吗?
验证的方法篇之八(终):趋势展望
验证的方法篇之七:性能验证
从参数、UVM、覆盖率、仿真来详解IP复用
完成功能验证就足够了吗?
验证的方法篇之六:效能验证
验证的方法篇之五:硬件加速
一种测试激励从IP到SoC的的复用方法
一种简易可行的复用RTL封装UVC的方法
验证的方法篇之四:虚拟模型
IC是互联网行业吗?恐怕要让你失望了!
验证的方法篇之三:开发环境
基于快速启动软件驱动的硬件验证框架
一群电子工程师的深情告白
验证的方法篇之二:静态检查
验证的方法篇之一:动态仿真
uvm_config_db在UVM验证环境中的应用
自动创建SOC测试平台
验证的策略篇之六(终):集成的环境
验证的策略篇之五:检查的方法
一种自动编写UVMtestbench的方法
移植混合语言设计验证的硬件加速方法
验证的策略篇之四:激励的原则
验证的策略篇之三:验证的透明度
验证锁相环IP的标准测试平台架构解决方案
从VHDL到UVM验证平台转变的优点评估
验证的策略篇之二:验证的层次
可重用的UVM验证结构
验证的策略篇之一:设计的流程
用于硬件设计的开源版本控制系统(Git)
为复用IP构建UVM验证环境的一种方法
芯片验证全视之十一(终):验证长征路上的各种坑
一种基于UVM验证图像IP的通用方法
芯片验证全视之十:一名验证师的自我修养(下)
复杂信号处理模块的验证方案
当我们谈论SystemVerilog-2012的时候在谈什么?(下)
芯片验证全视之九:一名验证师的自我修养(上)
当我们谈论SystemVerilog-2012的时候在谈什么?(中)
芯片验证全视之八:验证的资源需求
当我们谈论SystemVerilog-2012的时候在谈什么?(上)
符合DO-254标准的UVMVIP的实现
芯片验证全视之七:验证的周期(下)
芯片验证全视之六:验证的周期(中)
芯片验证全视之五:验证的周期(上)
时钟门控的形式验证方法
检查上电复位的自动化断言方案
芯片验证全视之四:验证的任务和目标
复位的常见问题及验证方法
芯片验证全视之三:验证能力的五个维度
参数化IP验证的高度可配置UVM环境
芯片验证全视之二:验证的处境
形式验证如何让你避免把车冲进沟里
芯片验证全视之一:功能验证介绍

阿辉说

Python实践(1):blackbox_gen
中兴微电子招聘验证工程师(深圳西安南京)
IC验证工程师招聘(深圳南京西安)
坚持学习Python31天,是什么样?
推荐有一丢丢基础的看看没有基础的应该也可以
python把文件内容分成不同文件保存
当我们做后仿时我们究竟在仿些什么(三)
当我们做后仿时我们究竟在仿些什么(二)
当我们做后仿时我们究竟在仿些什么(一)
写好一个接口(下)|阿辉说
在微信读书可以看白皮书了(^ワ^)
文本消息_1586217598
实习生都不敢这么用队列
【转载】在一家公司待久了,会怎样?
一种减少手机依赖的方法|阿辉说
验证阶段思考——反馈&升级
“这场疫情,坚定了我去大城市的决心”
说一个故事(1):刷碗
面试官提出因疫情降低薪资,该怎么办?
留言活动结果出来了
最近的一点感悟|阿辉说
说一个命令(1):ls
推荐阅读
配置组件两种实现方式|阿辉说
当囚徒健身遇见OKR阿辉说
使用TCL构造简单激励|阿辉说
“尽力而为”有什么不好?|阿辉说
明确目标,适时升级|阿辉说
哪里又出了问题?|阿辉说
香蕉有什么好说的|阿辉说
黄忠这个人|阿辉说
尴尬的扛旗手|阿辉说
验证工程师的软技能|阿辉说
一中作弊往事阿辉说
再记那些年的老师|阿辉说
记一次不存在的“访谈”
欢迎转发推荐,简历可以直接发给我chen.hui136@sanechips.com.cn,谢谢
可视化日志分析组件|阿辉说
那些年我们记住的老师|阿辉说
写好一个接口(上)|阿辉说
要不要换家公司上班|阿辉说
阿辉说|森林探“险”记
阿辉说|进程和循环
阿辉说|坚持的意义
阿辉说一个报文的诞生与灭亡
阿辉说“差异来自差异”
阿辉说哪里出了问题?
荐读┃另外一篇PlanB…
推荐一篇文章
青春-塞缪尔·厄尔曼
春节┃新年快乐
歌单新的歌单
新年元旦快乐
整理关于如何提高回归效率的讨论
原创蚁群算法在验证用例自动化回归中的应用
原创从零开始搭建UVM验证平台(五)
原创从零开始搭建UVM验证平台(四)
如何成为早起者
原创从零开始搭建UVM验证平台(三)
原创从零开始搭建UVM验证平台(二)
原创从零开始搭建UVM验证平台(一)
文本消息_1515340441
歌单十年,十歌
原创可以说,很少有人这么包书皮了
新年好
生日快乐
小蜜蜂
OakTree
Jinglebells
La,la,la,la,la,la
ISawTheLight
文本消息_1480694884
我想有个家
ForaDestination
六尺之下SixFeetUnder
谈恋爱时女生应该多花男生的钱吗?
恶意营销信息刷屏,让朋友圈“变味”
从朋友圈使用规范看到的营销规则变迁:反对恶意营销可玩味
微信朋友圈广告全面开放,社交电商蛋糕有多大?
HowDoILive
当我说我是基督徒时

集成电路设计及EDA教程

Innovus常用命令讲解-editMove命令
优惠啦!IC版图物理验证视频教程-一键搞定LVSERC
数字后端经典面试经验分享-完整流程篇(含珍藏流片经验分享)
Innovus常用命令讲解-editSelect
后端笔试题分享-1(Timing分析基础)
!实用!ICC2常用检查与修复DRC、LVS的命令与脚本分享
知识星球开始运营公众号粉丝破万活动结束
如何让学习python像玩游戏一样上瘾?
福利来了,信仰尺、笔记、礼券、红包大放送
粉丝福利发放与活动预告
!干货!为设计指定输入驱动强度set_driving_cellset_driveset_input_transition
!干货!天线效应问题的修复方法汇总(ICC2与Innovus)
ICC2命令返回collection结果显示…如何增大限制?
庆贺公众号粉丝马上破万集成电路低功耗设计技术整理
自适应电压缩放(AdaptiveVoltageScaling,AVS)
电压缩放技术(VoltageScaling)概述与动态电压频率缩放(DVFS)技术
低功耗设计技术–PowerGating–RetentionRegister(状态保持寄存器)
低功耗设计技术–MultiVDD–Levelshifter
低功耗设计技术–PowerGating–IsolationCell
Multipattern工艺修复DRC技巧:ICC2与Innovus中查看修改WireViacolormask的方法
低功耗设计技术–门控电源(PowerGroundGating)–PowerSwitchingCell
低功耗设计技术–MultiVDD
【芯连芯】–IC交流群
ICC2与Innovus在版图编辑上的对照(含快捷键)
Innovus与ICC2在显示控制上的对照
干货福利–ICC2与Innovus在GUI与快捷键上的对照
你要的都在这里-数字IC设计EDA软件教程整理(超级全)
VeriSiliconMemoryCompiler工具使用教程及SingleDual-PortSRAM、TPRF介绍
ICC2常用快捷键汇总–显示查看类快捷键
长文–IC后端物理效应–LODEffect(扩散区长度效应)LOD与OSE的关系
【芯思考】做数字IC这些问题你思考了么?(三)工艺节点发展及引入的新技术
IC后端物理效应–WellProximityEffect(阱临近效应)
ATPG之STIL
Linux视频教程Linux环境和终端的配置(更改背景分辨率终端快速启动、更改配色、字体图形字符界面切换)
不吐不快疫情可以防,你们防不胜防
【芯课堂】集成电路设计视频教程
ATPG之debug
形式验证及Formality软件详细教程(附完整tcl脚本)
集成电路低功耗设计技术整理
数字IC设计前端知识合集
年终福利
数字IC设计前后端学习资料推荐与下载
最大风口:为什么人工智能造造机器人就能年薪百万!
祝大家元旦快乐
双旦福利IC视频课程优惠券大放送
庆贺公众号粉丝破9千啦数字IC后端设计中Hold违反的修复方法
IR-drop问题的分析与修复汇总
IR-drop问题的分析与修复(六):AddHaloforMacro:ICC2&Innovus
你们心心念念的福利【芯课堂】优惠券大放送
数字IC前后端设计中Setup违反的修复方法
IR-drop问题的分析与修复(五):PaddingClockCells:ICC2&Innovus
低功耗技术:体偏置(BodyBias)
完结撒花InnovusFlow系列教程之版图验证(理论+实践+命令)
低功耗技术及其后端物理实现–多阈值电压技术
干货满满–数字后端设计及ICC教程整理
后端概念分享–Keepoutmargin
最强干货分享时钟树例外(excludepin、stoppin、non_stoppin、floatpin)
【芯课堂】–IC培训
超强整理正在连载-后端布局布线工具Innovus详细教程(理论+实践+命令)
请收下这份“电子工程师进阶指南”EDA365电子硬件技术研讨会(上海站)
【芯思考】做数字IC这些问题你思考了么?(二)
【芯视频】被惊讶到了么–高速芯片打线键合视频分享
数字后端低功耗-多种低功耗技术及其在IC后端布局中的应用
打造一个属于自己的工具–Perl-Tk教程之Adjuster控件
Cadence公司工具脚本-SKILL语言学习历程
Skill脚本程序合集
数字后端新系列:【芯思考】做数字IC这些问题你思考了么?
VirtuosoLayoutEditor快捷键归纳(超级实用)
Perl-Tk教程之按钮Button、复选按钮Checkbutton、单选按钮Radiobutton(附完整代码)
IR-drop问题的分析与修复(四):addcellpaddingforcellsinNarrowChannel
Perl-Tk教程之Listbox列表框控件(附完整代码)
Python到底是什么?学姐靠它拿了5个offer!
IR-drop问题的分析与修复(三):addcheckerboardplacementblockageinNarrow
MemoryCompiler软件Embed-ItIntegrator使用教程
IR-drop问题的分析与修复(二):oddpginNarrowChannel
Tcl与DesignCompiler(八)——DC的逻辑综合与优化(上)
Tcl与DesignCompiler(八)——DC的逻辑综合与优化(下)
干货放送-时钟路径的端点(Stoppins、Excludepins)
Innovus教程-命令系列-create_rc_corner
Innovus教程-Flow系列-RCCorner的设置(理论+实践+命令)
ICC中对Skew进行Debug的好工具–InteractiveCTSWindow
庆贺B站视频播放量破2万多互联电阻、先进工艺制程下的导线电阻
豪威集团2020届秋招空中宣讲会即将开启,面试直通卡、小米音箱等惊喜通通都有,快来围观!
互联电容、Crosstalk与low-K介质
很多ICer说想看英伟达社招、实习岗,今天,它来了,还可以内推哦
Innovus教程开始续更了满满的干货-NetDelay与设置寄生参数提取的RCCorners(理论篇,长文1)
你们心心念念的2020NVIDIA校招!反馈+悉心提醒!
LEF语法:LAYER(CUT)的说明(3)(完结)
LEF语法:LAYER(CUT)的说明(2)
LEF语法:LAYER(CUT)的说明(1)
AMD社招内推通道
更新啦-2020NVIDIA校招职位-可内推哟
你们心心念念的2020NVIDIA校招–可内推哟
IR-drop问题的分析与修复(含脚本分享)(一):Partitionboundarycellsclustering
IC设计中的DoublePatterning,RC寄生参数提取与Signoff
给想学Python的同学送点小福利!
你真的懂Leakagepower么?(State-dependentleakagepower)
润物细无声–却鲜为人知的低功耗设计技术–StackEffect
Innovus教程-Flow系列-设置时序、SI分析用的libcdb库文件(理论+实践+命令)
效果贼棒门控时钟低功耗–AutoBound与PhysicalAwareClockGating
Memory门控时钟低功耗–在Floorplan和Placement阶段有何考虑?
AI遇到游戏能擦出怎样的火花?近距离感受被AI支配的恐惧–看大神写代码让AI玩FlappyBird
Innovus教程-Flow系列-MMMC分析环境的配置概述(理论+实践+命令)
Innovus教程-Flow系列-指定PGNet和CPF文件(理论+实践+命令)
Innovus教程-应用系列-CPF应用实例
Innovus教程-Flow系列-管脚排列文件的编写与指定(理论+实践+命令)
Innovus教程-Flow系列-导入网表指定工艺物理库(理论+实践+命令)
ICC教程-Flow系列-概念系列-ECO(理论+实践+脚本分享)
PT教程-应用系列-ECO修复Timing(理论+实践+脚本分享)
Innovus教程-Flow系列-导入设计(理论+实践+命令)
InnovusLab和LabGuide下载地址Innovus教程-Flow系列-数据准备
让您久等了Innovus教程-序Innovusvsicc2
强推手机VNC乾坤大挪移如何在路上、被窝里操作Linux服务器
机器学习在IC设计中的应用(五)–利用机器学习预测ECO阶段的动态IR-drop
机器学习在IC设计中的应用(四)–预测DRC
实习美满2020暑期实习生计划启动啦,快上车!
春招急招武汉FAE,AMAT-全球领先的半导体设备供应商
读心术还是黑科技?从淘宝看商业数据分析的正确打开方式
机器学习在IC设计中的应用(三)–探索芯片的设计提升空间
各大IC设计EDA厂商EDA工具UserGuide分享
Innovus教程来了Innovus教程-DRC
机器学习在IC设计中的应用(二)–根据GBA时序结果来预测PBA
机器学习在IC设计中的应用(一)–利用率可达99%的神技–Placement及RelativePlacement
版图中的MINP和NMINP到底是什么
DesignWarelib,target_lib,link_lib,symbol_lib,synthetic_lib
『大同学吧』校园大使招募啦~
如何提升芯片良率?DFM中的WireSpread&Wirewidening
Perl-Tk入门教程-创建一个窗口
Perl-Tk教程之小部件-框架、文本、入口、按钮、标签
数字集成电路基础(5)
世界第一的半导体和显示设备供应商,提前吸纳贤才,只等你来!
英伟达社招岗分享-心动不如行动-内推更待何时
数字集成电路基础(3)(4)
数字集成电路基础(2)–功能性和稳定性
数字集成电路基础(1)
PerlTk在IC设计中的应用、Windows、Linux平台下的安装-各种错误的摸索解决
VirtuosoLayoutEditor快捷键归纳
那些失去的,会以另一种形式回到你的身边住房租金个税扣除
数字IC低功耗设计之–XOR自门控与DesignCompilertopo实现送网易云课程优惠券
立Flag的时间又到了欢送2018展望2019
28nm工艺下,自动生成管脚排列文件(.tdf),给设计加PAD,并在PAD上面打Label的流程(含脚本)
ICC中用Tcl脚本给版图中的PortTerminal加Label的方法
文本消息_1545800473
深度好文一个考虑了Scan、BoundaryScan、分频时钟、门控时钟的CTS的分析设计示例(含脚本)
门控时钟的可测性设计(DFT)
集成门控时钟多级门控时钟层次门控时钟门控时钟的形式验证
布局阶段对Tie-high和Tie-lowNet的处理
忆往昔–集成门控时钟技术的前世–分离门控时钟技术
妇孺皆知的低功耗技术门控时钟(ClockGating,CG)技术
千呼万唤始出来–QQ交流群多种低功耗技术及其在IC后端布局中的应用
轻松的低功耗策略TransistorSizingwithLongerChannelLength
数字IC设计EDA软件教程整理纪念公众号粉丝达5000人
数字IC设计所需掌握的几项技能及所用的EDA工具
门控时钟低功耗–在Placement阶段有什么技巧?
与状态相关的Leakagepower(State-dependentleakagepower)
28nm及以下工艺节点在布局阶段的物理约束-NOFiller1
ICer,你还在一直盯着服务器等结果?Out了,一个脚本让你从服务器前解放出来Perlshell综合应用举例
低功耗设计技术之DataGating(数据门控)与OperandIsolation(操作数分离)
尝尝鲜Cadence公司数字后端布局布线工具Innovus网络视频培训课程
诡异:EDA工具执行的某些结果没有print到log里面?吐出的log有些神秘诡异的代码。
你真的懂perl里面的print,printSTDOUT,printSTDERR么?
在外企工作是什么样的体验?
在布局布线后对版图进行DRC有何意义呢?
数字IC设计中的多模多角MCMM(Mulit-CornerMult-Mode)
数字IC前后端设计中MaxTransition违反的修复方法
如何通过Perl脚本随时随地得知项目进展状态–Perl发送邮件
IC设计中常用Linux系统命令系列–lsgrepheadsedawk高级命令综合应用举例
数字IC前后端设计中MaxCapacitance违反的修复方法
好想再给祖国母亲过一次生日呢gvimdiff比较两个文件的差异
Vim、gvim操作–保存和退出
数字IC设计EDA软件教程整理
数字IC后端设计中Hold违反的修复方法
ICC中的focal_opt命令讲解
数字IC设计中的sign-off及所用的EDA工具
Vim、gvim操作–自动手动排版技巧
Vim、gvim操作–文件跳转
Vim、gvim操作–翻页、滚动
Vim、gvim操作–移动、跳转光标
Vim、gvim操作–跳转光标-区块跳转
vi、vim、gvim功能简介与gvim的安装
gvim的四种模式与模式之间的切换
AI在IC设计中显神威–Placement及RelativePlacement
NVIDIA2019校招第一批笔试倒计时
布局规划-10自动做Floorplan的Placement,对Floorplan的结果进行评估
数字后端中的拥塞(Congestion)及其解决方案
版图原来可以如此惊艳–3DGDS查看软件
布局规划-9电源地规划
布局规划-8添加Nwell和衬底接触单元
美国下注15亿美元重点搞芯片?AI在IC设计及EDA软件中的应用AI在DRC中的应用
IC615下进行后仿的方法(不用修改CDF文件)
公众号更名为:集成电路设计及EDA教程,之后也会邀请一些小伙伴分享一些模拟IC设计相关内容
第74期国际名家讲堂EDA实操数字系统的设计与应用
Nvidia校园招聘开始了!
布局规划-6布局障碍的放置
布局规划-7添加EndCAP
布局规划-4加入Padfiller
布局规划-5宏单元放置
第74期国际名家讲堂:数字系统的设计与应用
布局规划-2读入IO约束文件(.tdf文件)
布局规划-3创建Floorplan
实习NVIDIA又招聘实习生啦!PhysicalDesignCADEngineer
布局规划(Floorplan)流程简介
布局规划-1在设计中添加physicalonlycells
PTECO教程及tcl脚本分享
实习NVIDIA又招聘实习生啦!上海北京深圳3地14个职位等你来!
ECO(EngineeringChangeOrder)
IC设计中的脚本VSGUI(脚本可以多强大?!)
Perl文件操作
SynopsysEDA软件UserGuide下载链接
Tcl与DesignCompiler(一)——前言
Tcl与DesignCompiler(十三)——DesignCompliler中常用到的命令(示例)总结
再见,华越(╥╯^╰╥)
Tcl与DesignCompiler(十二)——综合后处理
Tcl与DesignCompiler(十一)——其他的时序约束选项(二)
Tcl与DesignCompiler(十)——其他的时序约束选项(一)
Tcl与DesignCompiler(九)——综合后的形式验证
Tcl与DesignCompiler(七)——环境、设计规则和面积约束
Tcl与DesignCompiler(六)——基本的时序路径约束(上)
Tcl与DesignCompiler(六)——基本的时序路径约束(下)
Tcl与DesignCompiler(五)——综合库(时序库)和DC的设计对象(上)
Tcl与DesignCompiler(五)——综合库(时序库)和DC的设计对象(下)
Tcl与DesignCompiler(四)——DC启动环境的设置
Tcl与DesignCompiler(三)——DC综合的流程
Tcl与DesignCompiler(二)——DC综合与Tcl语法结构概述
Perl正则表达式
Perl哈希
Perl标量
Perl数组
DC软件简介及启动方式
DC中各种库的设置
版图中给芯片加SealRing
用Calibre给版图加Metalfilldummy
SCAN的仿真(STIL文件的仿真)
BoundarySCAN的仿真
LEF文件格式
SDF文件格式
数字IC设计技能树
XilinxISE12.0烧录步骤
ISEChipScope使用教程
天线效应问题二:ICC在布线阶段无法插入二极管,找不到二极管单元
天线效应问题三:ICC在布线之前考虑天线效应的设置
天线效应问题四:在ICC中出现很多天线效应的违反,该如何修复?
天线效应问题五、ICC中没有天线效应违反,但在Calibre中检查出天线效应违反
天线效应问题一:WarningSkippingantennaanalysisfornetxxx
新年快乐
如何用ModelSim对XilinxISE产生的网表进行仿真
XilinxISE如何调用Modelsim进行联合仿真
EDA软件视频教程
瑞芯微电子2016年校园招聘笔试题(数字IC后端)
飞思卡尔半导体2016年校园招聘笔试题
AltiumDesigner设计PCB–如何增大电源地的线宽
iumDesigner设计PCB–如何设置铺铜与导线或过孔的间距
数字后端设计以及ICC教程整理
版图验证1-检查电源地连接
版图验证2-DRC检查
版图验证3-LVS检查
Cadence公司工具脚本–SKILL语言学习历程
ICC命令集
28nm工艺下,自动生成管脚排列文件,给设计加PAD,并在PAD上面打Label的流程(含脚本)
一个考虑了Scan、BoundaryScan、分频时钟、门控时钟的CTS的分析设计示例(详细)
CTS之后对Skew进行Debug的好工具–ICC中的InteractiveCTSWindow
关于过两级mux的时序约束的添加(非常经典的时序约束问题)
FPGAASIC产生基于LFSR的伪随机数
TCL中的方括号双引号反斜杠花括号
时序分析中的OCV&AOCV&POCV
学习数字IC设计推荐的公众号和交流群
数字IC前后端设计中的时序收敛(续)
数字IC前后端设计中的MaxFanout违反
数字IC前后端设计中的时序收敛
数字后端设计以及ICC教程整理
VCS教程-生成覆盖率报告
VCS教程–网表仿真
ICC-CTS过程中需要注意的地方–CTS后时钟树延迟信息的更新与报告
布局规划(Floorplan)-4自动做floorplan的placement,作为floorplan的参考
布局规划(Floorplan)-3电源地规划
庆祝本公众号成员达到666
布局规划(Floorplan)-2
低功耗设计策略–状态机编码和解码
低功耗设计策略–资源共享
低功耗设计策略–二进制数表示法
布局规划(Floorplan)-1
ICC布局物理约束–Nofiller1
单口RAM、伪双口RAM、双口RAM、FIFO功能介绍
单口RAM、伪双口RAM、双口RAM与FIFO的区别
ATPG之STIL格式说明
时钟路径的端点(Stoppins、Excludepins)
时钟树例外中的引脚例外(excludepin、stoppin、non_stoppin、floatpin)
Floorplan常见问题-Macro或者Core的Strap与PGRing连接处缺少Via
Floorplan常见问题-Macro的PGPin没有连接到PGRingStrap上
DC命令讲解connect_pin
DC中进行ECO
PTSI信号完整性分析教程
PTOCV静态时序分析教程
PrimeTimePX进行功耗分析-1
PrimeTimePX进行功耗分析-2
版图工具Virtuoso的使用技巧
ICC后端设计准备-3.为设计创建library
ICC后端设计准备-4.进行uniquify
ICC后端设计准备-5.设置TLU+文件
ICC后端设计准备-6.读入SDC文件,设置芯片工作环境
ICC后端设计准备-7.检查设计的合理性
注意:针对公众号上的留言回复问题
基于Primetime的静态时序分析流程
形式验证–门控时钟
形式验证–未驱动信号
形式验证–扫描链
形式验证–分模块形式验证
ICC中进行布线的流程
ICC中进行CTS的流程
Verilog中可综合及不可综合语句概述
用Verilog建立可综合模型的原则
不可综合Verilog语句
静态时序分析验证
静态时序分析的原理
利用ICC进行布局布线的设计流程
ICC后端设计准备-1.数据准备
ICC后端设计准备-2.参考库的创建
Modelsim如何进行综合后零延迟仿真
形式验证工具Formality教程-1
边界扫描技术简介
ICCompiler简介
后端设计中常用文件格式说明
IO库与标准单元库中的特殊单元
后端设计中布局相关知识
用ICC进行布局流程
gvim操作-替换
gvim操作-复制粘贴
gvim操作-删除
gvim环境设置
gvim分屏操作
Gvim简介-1
Gvim的四种模式
gvim操作-移动光标
gvim操作-保存
gvim操作-查找
DFM(可制造性设计)-2
4.MetalErosion(金属侵蚀)
5.Metalliftoff(金属翘起)
6.添加去耦电容DeCAP(CoreFillerWithMetal)
7.添加CoreFillerWithoutMetal
8.Metalover-etching(金属过刻蚀)
DFM(可制造性设计)-1
1.天线效应
2.接触孔通孔可靠性问题
3.RandomParticleDefect(随机微粒缺陷)
低功耗设计技术
基于Design(Power)Compiler的门控时钟低功耗设计方法
集成门控时钟(IntegratedClockGating)
多级门控时钟(MultiStagesClockGating)
如何解决后端设计中的拥塞Congestion
MBIST知识及MBISTArchitect软件教程
VCS简易教程
ICC中的MCMM(Mulit-CornerMult-Mode)

集成电路设计那些事儿

allegrodesignentryhdl输出bom设置
送瘟神
力度最大一次优惠:集成电路设计那些事儿
WallaceTree图解
Booth编码以及运算实例
双十一优惠:CMOS模拟课程五折,仅111份
有关CMOS集成电路设计版图等课程课件的说明
高等数学考研辅导-视频课程-暑期优惠券发放
版图视频课程新增–第十五讲CalibreLVS常见错误解析
暑期优惠活动:视频课程-CadenceAllegroPCB设计课程
完结!视频课程:CMOS模拟集成电路设计
即将完结:视频课程-CMOS模拟集成电路设计–数据转换器内容节选
高等数学考研辅导-试听视频-二重积分,傅里叶级数等
开关电容电路:节选
视频课程:高等数学考研辅导讲座-中
视频课程:高等数学考研辅导讲座-上
第19学时–运算放大器结构
第20学时–全差分运算放大器中的共模反馈
运算放大器节选–CMOS模拟电路设计视频教程
PCB课程-618半价-仅此一天
618CMOS视频课程半价–仅此一天
反馈节选
单级放大器中的噪声–CMOS模拟集成电路视频课程节选
CadenceAllegroPCB设计课程–视频节选
CMOS模拟集成电路设计版图视频课程-六一优惠
CMOS视频课程-第13学时–噪声
源跟随器的频率特性-传输函数
放大器的频率特性(2)–共源极的频率特性
CMOS放大器的频率特性–视频节选
也谈华为
CMOS模拟集成电路版图视频课程:网易云课堂连载,已结束
CMOS版图视频课程-第十二讲-MentorCalibre版图验证工具-现在五一有优惠
CMOS版图视频课程-第十一讲-CadenceVirtuoso版图设计工具-现在五一有优惠
CMOS版图视频课程-第九讲-Cadencespectre概述与操作界面-现在五一有优惠
CMOS版图视频课程-第十讲-Spectre窗口和库元件-现在五一有优惠
CMOS模拟集成电路设计版图课程五一优惠信息
版图第八讲:工艺设计工具包(ProcessDesignKit,PDK)
基于CadenceAllegroPCB设计课程预计五一后上线
有源电流镜-下-第十学时
电流镜电流–第10学时
共栅极小信号分析–视频内容节选
CMOS版图课程第七讲–可靠性设计,视频截图节选
有关CMOS集成电路设计版图课程的一些补充说明
视频课程:CMOS集成电路设计–差分放大器视频节选
视频课程:CadenceAllegroPCB设计课程大纲
CMOS模拟集成电路设计视频课程–即将上线
vivado中调用notepad++和gvim的方法
分享一份gvim模板_vimrc
微信公众号:集成电路设计那些事儿开课计划
CMOS集成电路设计课程延迟上线的通知
联想固态二三事
视频课程:CMOS模拟集成电路设计
异步复位,同步释放的理解
CMOS模拟集成电路版图第五讲–设计规则–已上线
CMOS模拟集成电路设计课程
CMOS模拟集成电路版图设计课程
第二讲模拟集成电路版图器件与互连
IC技术公众号推荐-摘自ExASIC
集成电路设计那些事儿:培训视频课程–意见征集,欢迎反馈
视频课程:CMOS模拟集成电路版图设计
CMOS模拟集成电路版图设计课程介绍
预告:CMOS模拟集成电路版图基础-培训课程上线啦!
安利一个windows(win10)快速搜索文件的利器Listary
视频:蓝牙降噪耳机WH-1000XM2QC35II(索尼&BOSE)选型及体验
程序员常用工作桌面配置–装逼版
图解卷积
BPSK试题计算
平时计算过程的一些验算,涉及均衡,傅里叶变换性质,线性分组码等
28元包邮奔腾二代CPU升级记
通信原理&英语总结
通信原理阶段性复习和公式推导
thinkpadx230换三星msatassd860EVO
傅里叶变换性质公式推导
信道均衡例题解析
线性分组码生成矩阵例题
数字PAM信号功率谱密度推导
解决Typora和搜狗输入法快捷键冲突问题
2019年公众号计划
希尔伯特变换及其性质
通信中卷积和相关的关系
通信中功率谱密度和帕塞瓦尔定理(Parseval’stheorem)及其性质
联想固态硬盘一年两坏,联想,拿什么去爱你?
傅里叶变换性质和常见信号的傅里叶变换
exasic.comicgzh新加微信公众号:小石谈IC
降噪耳机(索尼&BOSE)选型及体验
Matlab2018a安装教程
也说状元之死
GVIM使用:模板,录制插入列,录制并插入递增数列
一些提升windows办公,编写代码的神器carnac,Totalcommander
从周期函数的三角形式傅里叶级数引申到指数形式的傅里叶级数
通信系统模型以及三角函数基本概念复习
vivado安装问题解决errorwhenlaunchingcxilinxvivado2017.1bin、
ISE联合Modelsim设置
ISE14.7软件安装
ISE14.7Impact软件或者ISE软件停止工作,闪退
Xilinx下载电缆找不到的问题
ModelsimSE安装
cadence软件安装教程
MATLAB路径设置
matlab画sinc函数及其有意思的性质
GVIM教程–gvim交换文件.swp已存在
ThinkPadT470P拆机加固态硬盘建兴T11plus
GVIM使用教程
GVIM安装教程
OrCADCIS出bom设置
傅里叶变换-F(f)和F(w)是一回事吗?
通信原理经典书籍整理暨入门指导以及资料奉送
小米8SE如何安装google框架-之chrome手机安装没有可安装该应用的设备
小米8SE开箱及体验
allegro阻抗隔层参考设置以及viacopy操作
allegro整层铺地方法
通信原理初篇–建立直观概念
allegro布线一些思路
Win10彻底关闭windowsdefender以及microsofttoolkit激活教程
allegro设置区域规则
allegro差分线设置-续-neck的使用
allegro差分线设置
板厚和孔径比设计要求-分享一个8层板阻抗
0.65mmpitchBGA扇出过孔,线孔距等设置
Allegro中anti-etch的作用
Allegro导入dxf使用Z-Copy提示”NotaclosedpolygonorCLine.Element
IC-FPGA类-2018年中总结暨2019年flag
使用allegro的paddesigner建立焊盘
线性序列机与TLV1544驱动设计
modelsim联合altera联合仿真出现cannotlaunchthemodelsim-alterasoftware
Allegro中如何导入DXF文件
win10下ISE连接出现iMPACTCannotfindcable,checkcablesetup
转发:一个合格数字IC设计工程师的知识结构
槽孔制作基于candenceallegro
BGA扇出基于cadenceallegro
matlab出现:错误使用xlsread(line260)错误服务器出现意外情况的解决办法
FPC封装的制作_cadence_allegro,视频上线,完全手把手,零基础教学
matlab线性拟合在滤波器设计中的应用
PCB封装设计-基于cadenceallegro16.6,视频上线
高速ADCDAC的测试方法–摘自yaliDV公众号书籍《模拟混合信号设计验证》
SARADC结构以及verilog测试
cadenceallegro16.6拼板出现飞线问题的解决
为何微信公众号不能留言评论?备用联系方式–欢迎大家指导,交流
求助大家,双硬盘双系统,开机后只能进win10
用hackrf学习通信-第一篇-接收FM广播
使用UltraLibrarian将bxl文件转换成orcadcapturecis和allegro适用格式
stm32cube的妙用:使用软件图形化分配STM32引脚(以SDRAM举例)
看了视频:拉菲罗·安德烈四轴飞行器灵活的运动性能,很震撼
近期生活之感悟
语音芯片pcm3500虚拟器件模型的建立(付verilog代码)
AD7819接收控制状态机(付verilog代码和tb代码)
vivado使用技巧
$readmemh用法(verilog)
又到一年中秋国庆
同源时钟设计考虑
ISE生成IPcore之ROM
ISE学习之-help文档
SPI总线协议理解(付verilog源代码和tb代码)
task和function
现在真是一个知识爆炸的时代
特权同学16bit乘法器-位拼接运算符
每天看点FPGA
verilog阶段性复习
被微信公众号发文流程折腾到了,但我要坚持发一篇
16bit乘法器设计-续-左移累加
回乡所感
ISE14.7和modelsim10.1c联合设置注意点
文本消息_1533346325
16bit乘法和4bit乘法使用verilog实现
一个电子从业强迫症的日常思考
JimWilliams(analogdesigner)经历
100W电源问题之始末
一首小诗和《自卑与超越》–有感
allegro中铜皮显示透明的问题设置
xilinxISE环境使用教程-使用verilog点亮流水灯
文本消息_1523233136
小石谈IC微信公众号xiaoshi_IC系列之PCB视频上线
有关reg和wire的理解
verilog执行顺序的理解
可综合的verilog语法子集
清明电脑升级记
一个悲伤的消息,老吴的博客要关了
Capture中元器件Instance或Occurrence属性

本文作者:HonkW

本文链接: https://storage.honk.wang/posts/ic-wechat-link/